barriers / 阅读 / 详情

中国能否造出 CPU?

2023-07-27 03:14:31
TAG: cpu cp
共14条回复
牛云

龙芯系列吧,应该算是我国独力自主开发的:

本文作者为“龙芯2号”主要研发人员,本文是他在BBS上发表的一篇文章。

2003年10月16日深夜,喧嚣了一天的计算所北楼终于沉寂了下来,我独自坐在北楼105房间忐忑不安地等待着。刚才还有郑为民和一个学生跟我在一起,几分钟前我派他们到后面的芯片小楼取逻辑分析仪去了。与北楼隔着一个篮球场的芯片小楼里还有10来个课题组的弟兄,他们都象我一样忐忑不安地等待着。

17日凌晨0点30分,楼道里传来了有节奏的脚步声,在空旷而寂静的楼道里显得特别有力。脚步声越来越近,我的心一下子提了起来,咚咚的脚步声象敲在我的心上,因为我知道,我们的龙芯2号回来了。

105房间的门被推开,张珩风风火火地闯了进来,手里抱着一个方盒子。他是我专门派到上海去封装厂家取龙芯2号芯片回来测试的。我们小心翼翼地打开盒子,几十片龙芯2号芯片象等待检阅的士兵一样整齐地排列在专用的包装盒里。一个电话拨到芯片小楼,不到两分钟,105房间就聚集了六、七个人,他们都是下午刚刚成立的联调组的成员。

我挑了几个芯片,在用万用表对芯片进行一些简单的静态测试后,从中选了一个芯片放到子卡的插槽中盖好,并把子卡插到主板上。小心地按了一下电源开关,显示屏上没有动静,心里一阵紧张。试了几次后,换一个子卡,放上芯片后插到主板上,一按电源开关,显示屏一阵跳动,串串字符如约而至。我们一阵欢呼,刚才提到嗓子眼的心放回到心窝里先。

在启动了一个简单的BIOS系统以后,开始启动LINUX操作系统,一切都很顺利。1点10分,屏幕上出现了LINUX操作系统的登录提示符“login:”。在拿到芯片的40分钟后,刚才等待时的紧张心情一扫而光。我们赶快打电话到芯片小楼告诉在那里等候的其他同学。钟石强还给我的妻子发了个短信,今晚她也在等待我们的消息。几分钟后龙芯2号收到了出世后的第一个祝福。

4点30分,龙芯2号通过了其他的测试。我们用装有龙芯2号的计算机在我们CPU组的内部BBS上灌了龙芯2号出世后的第一瓢水,并发了几封EMAIL。我决定龙芯2号的第一次联调先告一段落,拿出放了很久的硅谷的一个朋友送的一瓶XO在芯片小楼的会议室里每人半纸杯庆祝了一下。喝完酒后大家兴致不减,根据事先的约定又打车到天安门广场看升国旗并去毛主席纪念堂向毛主席报告。今年是毛主席诞辰110周年,我们这款芯片的名字就叫MZD110。

6点25分,我们又一次站在天安门前的国旗杆下,看着红彤彤的国旗在国歌声中冉冉升起。去年在接受《东方之子》节目采访时,他们曾问我在龙芯1号研制成功后看升国旗时心里想什么,我那时真忘了想什么了。这次我试图去想一些有意义的事情,比如什么豪言壮语之类的。可是面对国旗,脑袋里一片空白,只是在国旗猛地串上杆顶的那一刹那,24小时前杨利伟走出神舟5号舱门冲大家挥手的画面突然出现在我脑海中,以至于在去前门吃早饭的路上这个画面还久久浮现在面前,挥之不去。

在2002年9月28日龙芯1号的发布会上,李国杰所长曾经引用《易经》中的话说:“万物生于有,有生于无”。如果说龙芯1号解决的是从0到1的问题的话,那么龙芯2号要解决的是从1到10的问题,即龙芯2号的性能至少是龙芯1号的10倍以上。事实上这也是我们在申请 中科院知识创新工程重大项目和863计算机软硬件主题重点项目时唐志敏提的指标。在这 两个项目厚厚的申请书和合同书中,我只记住了两个数字,一个是主频500MHz以上,一个 是SPEC CPU2000的值达到300分以上。从承担项目之日起,这两个数字就象两个紧箍咒一 样紧紧地箍在我的头上(我一直认为这个项目是863项目中立得最成功的项目之一,只用 两个数字就把要做的事情表达得足够清楚了)。

从现在看来,主频500MHz以上还好办一些,下死工夫总是可以做到的,用0.13微米的工艺 更是容易做到。难的是SPEC CPU2000的分值达到300分以上。所谓SPEC CPU2000,就是一 组国际公认的标准测试程序,用这组程序在目标机器上运行,根据实际运行时间算出该计 算机的运行速度指标。这种标准测试程序从SPEC CPU89、SPEC CPU92、SPEC CPU95、到 SPEC CPU2000已经发展了好几期。其中SPEC CPU2000覆盖的应用面最广,包括文件压缩、 FPGA布局布线、编译器、组合优化、国际象棋、文字处理、计算机视觉、编程语言、解释 器、数据库、布局布线模拟器、量子动力学、浅水模型、三维势场求解、偏微分方程、三维图形库、计算流体动力学、图象识别/神经网络、地震波传播模拟、计算化学、数论/素数测试等等,光源代码就有上百万行。打分的标准是把这组程序在Sun公司一台300MHz的四发射Untra Sparc II 上运行的时间做为标准运行时间,其分值为100分,其它机器运行时间跟标准时间相比算出相应的分值。一般来说,现在较流行的四发射的主流RISC处理器象Alpha 21264、MIPS R12000、IBM Power III等在400MHz到500MHz的情况下SPEC CPU2000的分值可以达到300分左右,而Pentium III在800MHz时SPEC CPU2000的浮点分值 才200多分。龙芯2号要达到300分,至少要与1GHz以上的PIII或PIV的性能相当。因此,虽然做500MHz虽然也不容易,但更难的是SPEC CPU2000的分值达到300分以上。

提高处理器的性能,提高主频和优化软硬件结构不可偏废,光强调主频和光强调结构都是不行的。就象要把100根木头从A地扛到B地,甲每10分钟一个来回,每次扛1根木头;乙每20分钟一个来回,每次扛4根木头;丙60分钟一个来回,每次扛6根木头。我们不能根据甲跑得快(主频高)就说甲的性能最高,也不能根据丙每次扛得最多(每拍执行的指令多)就说丙的性能最高,性能是一个综合的东西。当然,还有其它要考虑的因素,如甲乙丙三人每小时的报酬(处理器的功耗、面积)等。虽然龙芯1号的成功对我们来说是一个巨大的进步,但有一件事我一直深引以为恨,那就是龙芯1号的性能没有达到预想的目标。

虽然主频不低,但跑起程序来比起类似结构的RISC处理器以及相同主频的PII还有一些差距。SPEC CPU2000的分值也不高。我曾经整日整夜地泡在机房运行各种测试程序,并试图通过软件优化的方法提高性能。虽然取得了一些效果,但不理想。后来的性能分析表明,有些龙芯1号性能瓶颈其实完全是可以通过简单的优化克服的,可惜当时项目推进得太快,没有时间做充分的性能分析和优化。这件事使我极其郁闷,因此把性能分析不够作为龙芯1号设计过程的一个重要教训,并发誓在龙芯2号的设计中要一血前耻。知耻近乎勇,后来龙芯2号步步为营的性能分析果真为龙芯2号提高性能发挥了巨大作用。在中科院领导对我们承担的龙芯2号重大项目进行立项审查的会上,李老师、唐志敏和我在向院党组汇报后准备离开时,江院长追出来跟李老师说:“李院士,我就把宝压在你身上了”。在863计算机软硬件主题专家对我们承担的龙芯2号项目进行立项审查的会上,我做完报告后,专家组组长怀进鹏老师曾声色俱厉地说:“胡伟武,这个项目是863计算机软硬件主题最大的项目,完不成任务提头来见!”这两件事给我很大的触动,让我想起上甘岭战役前夕彭德怀曾对秦基伟说:“我们要对朝鲜的历史负责”。

龙芯2号的设计在龙芯1号tapeout前就开始筹划,主要是唐志敏和我围绕我们申请的中科院和863的项目进行调研并确立在2004年项目结束时SPEC CPU2000的分值达到300分的目标 ,并在此基础上确定64位结构和4发射的目标。根据项目目标及龙芯1号研制的经验和教训 ,我们确定了龙芯2号研制的如下三条设计原则。

一是充分发挥结构设计优势和软硬件协同的设计原则。即通过处理器中各个层次的并行性开发来提高性能。这些并行性包括指令级并行、数据级并行以及线程级并行。其中指令级并行主要是四发射结构的实现,即在指令流水线的任何一个阶段每拍都执行四条以上的指令。为了有效发挥多发射通路的效率,必须实现充分的乱序执行技术,减少指令间的互相 等待。数据级并行性的开发主要是通过SIMD的技术实现向量指令。线程级并行性包括单处理器的多线程技术以及多处理器的多线程技术。龙芯2号在并行性开发上主要开发指令级并行技术,并通过把浮点部件与媒体处理共用的方法实现数据级并行的SIMD技术。软硬件协同主要指通过编译优化及硬件对编译器的支持提高性能,既不片面追求复杂的硬件大包大揽,也不把沉重的优化负担完全压在编译器身上。编译器对提高性能十分重要,我们曾有这样的体会:在同一台机器上,用不同编译器编译出来的同一个程序,运行时间竟相差75%。

二是以物理设计指导结构设计的原则 首先,流水线的最大逻辑路径不是由体系结构设计的需要来确定,而是由物理设计的要求来确定。即先确定每一级流水线的最大延迟并在此约束下进行结构设计。其次,在做结构设计时,心中要有物理设计的概念,即要明白相应的逻辑在物理上是什么样的。在龙芯2号设计过程中,结构设计人员至少做到网表级。三是设计和实现方法上稳扎稳打的原则 首先,重视Cycle-by-Cycle的C模拟器的设计并把C模拟器作为详细结构设计的文档是我们在龙芯1号开发过程中形成的一条最重要的经验,即“可执行的结构设计”的概念。在设计初期就通过充分的仿真与验证不仅不会影响进度,而且会加快进度。此外,龙芯2号的功能设计和物理设计也分成几步。第一步,还是采用标准单元设计,只在很有限的局部做全定制(如寄存器堆),主频200-300MHz以上,功能上不实现二级CACHE,争取尽快完成流片。第二步,功能上增加二级CACHE接口或/及DDR接口等,物理设计上使用更多的宏单元,但设计方法还是基于标准单元的方法,主频在300-400MHz以上。第三步,功能上增加对多处理器系统的支持,在更多的地方使用全定制单元或使用全定制流程,主频争取在400-500MHz以上。龙芯2号最后的流片将以全定制为主。

龙芯2号的设计包括结构设计、逻辑设计以及物理设计三个阶段,这三个阶段互相重叠,其中结构设计阶段和龙芯1号的设计也有所重叠。龙芯2号的结构设计断断续续地进行了好几个月。刚开始是在2002年四、五月份在进行龙芯1号物理设计的同时对龙芯2号的系统结构进行了初步的考虑。在对市场上的主流处理器如Alpha 21264、MIPS R10000、Ultra Sparc III、Power III、HP PA8700、PIV、IA64等及学术界的主要工作进行调研的基础上基本确定了龙芯2号的寄存器重命名、动态调度以及运算部件的架构。到六、七月份随着龙芯1号物理设计和系统开发工作的展开,龙芯2号的结构设计几乎停了下来。那时候我们组一共只有二、三十号人,很多人员的工作都是重叠的,根本没有力量同时做两件事情。

在2002年7月中旬龙芯1号tapeout之后,利用等待芯片回来的时间把龙芯2号的结构设计再次提上日程。7月15日研究生部的靳晓明老师打电话叫我到在四川广元召开的研究生学术研讨会上做个特邀报告,本来应该是徐志伟老师做的报告,徐老师临时有事去不了因此派我救场。唐志敏已经告诉靳老师我刚tapeout一个芯片,应该有空,所以推也推不掉。开完会后还要去九寨沟,需要三、四天才能回来。我走之前临时决定把龙芯2号的部分设计人员带到广元,准备在路上对龙芯2号的结构进行讨论。我和中科院研究生院的两位老师一起坐火车头天走,安虹老师、张福新和范东睿坐飞机第二天走,几乎同时到的广元。那时候我女儿正在放暑假,我爱人在龙芯1号tapeout之后刚到一个公司上班,因此我把6岁的女儿也一起带上了。

后来的事实证明我临走前做的决定是十分正确的,在广元和九寨沟的几天效率极高,基本确定了龙芯2号的结构框架。那几天我们白天根据会务组的安排活动,晚上讨论龙芯2号的结构,并且根据讨论结果形成龙芯2号结构设计的初步文档,每天都到凌晨一、二点。由于处理器的寄存器重命名以及动态调度结构已经基本确定,因此讨论的重点是取指和访存部件的结构。

在从广元去九寨沟的路上我和范东睿坐在汽车的最后一排讨论龙芯2号的取指和译码部分 的结构,汽车在路上颠簸了一整天,我们也讨论了一整天。取指与译码部分的设计空间很大,包括采用何种转移猜测算法、多发射情况下如何消除转移指令后面的延迟槽、取指及转移猜测是以指令为单位还是以发射块(四条指令)为单位、转移猜测是在取指还是在译码阶段进行、修正BHT和BTB的时机、如何提高指令CACHE的性能、以及指令TLB和数据TLB 的关系等。其中如何消除转移指令后面的延迟槽讨论的时间最长,主要是对传统的BTB方法和Alpha 21264中采用的line prediction方法进行反复的比较和分析。我从小就喜欢坐比较颠簸的汽车,汽车越颠精神越好,范东睿精神也不错,因此一路上效率极高。晚上8点汽车到达九寨沟时,龙芯2号的取指部分架构已基本确定。

访存部分的结构比取指部分复杂。一方面,它是与操作系统关系最密切的部分,其功能是否完善是支持通用操作系统的关键因素;另一方面,它是提高处理器性能的核心部件之一。如果CACHE访问效率不高,流水线的其它部分设计得再好也没有用。这一点在龙芯1号中我们已经有所体会。学术界与企业界都对如何提高访存性能作了大量研究,设计空间很大,核心问题包括如何降低流水线延迟、如何提高CACHE命中率和降低由于CACHE不命中引起的等待延迟、以及如何解决访存的RAW、WAR、和WAW相关等等。在随后的几天中,我们对这些方面进行了反复的权衡和讨论。直到在回北京的火车上才有比较粗的思路。

有意思的是,一路下来,我女儿看我们的工作,耳濡目染,对CPU设计也有了自己的心得 。直到今天我问她什么是CPU,她还说“CPU就是在一张纸上画些方框,然后用线和箭头把这些方块连起来,再涂上点颜色,写上一些字,最后一烧,烧出一个亮晶晶的小方块”。

最近我女儿经常在用龙芯2号做的Linux PC上玩游戏。我告诉她这就是我们在九寨沟画的 方框“烧”出来的,她感到很诧异。在2002年8月10日龙芯1号首片调试成功之后,龙芯2号的设计工作又慢了下来,全组的主要力量放在了龙芯1号的系统开发上面。虽然由于龙芯1号的系统开发和性能分析耽误了一些时间,但我自己在龙芯1号上玩了近一个月后有很大的收获。尤其是对性能和主频的关系有了更深入的认识。比如,对于有一些访存密集的应用,主板频率83MHz而CPU频率250MHz时的性能还不如主板频率100MHz而CPU频率200MHz的性能。现在想起来,一个处理器的性能就象一个城市的交通系统的吞吐率,可能由于某几处的堵塞而影响整个城市的吞吐率,只要把这几处疏通了,虽然花的力气不大,但吞吐率会极大地提高。2002年9月28日龙芯1号发布会后,龙芯2号的设计工作全面展开。10月2日,我带着张福新和李祖松到母校中国科大利用十一长假进行龙芯2号的C模拟器封闭开发,顺便向母校报告一下我们的工作。在科大借了半间原来的库房呆了一个多星期,基本完成了C模拟器的代码编写。在对结构进行细化的过程中发现了好多原来没有考虑到的问题。对于这些问题我们有时候争辩得很激烈。例如在转移猜错取消时需要判断正在执行的指令中哪些是该转移指令前面的,哪些是该转移指令后面的,张福新和李祖松的观点是参照MIPS R10000使用的方法,而我觉得那个方法太麻烦,希望有更简洁的方法。我们一直争论了两天,在争论的过程中互相启发,终于找到了一种简洁而高效的方法。

2002年10月8日回北京时龙芯2号的C模拟器已经基本成型。我们继续在我的办公室进行半封闭式的开发,主要是继续完善C模拟器并开始调试。那段时间我们每周只有二、四、六晚上休息,其它时间都在调试。调试过程中也调动组里的其它人写了不少测试向量。11月中旬在C模拟器中成功启动LINUX操作系统,开始对C模拟器进行性能优化以加快模拟速度并用C模拟器对龙芯2号的结构进行性能分析。

在此期间以及以后的几个月内,我们在C模拟器上运行了完整的SPEC CPU2000的几乎所有程序以及八十年代比较流行的性能测试程序dhrystone和whetdstone等,对龙芯2号的性能进行初步的分析。在运行上述程序过程中还发现了不少设计上的bug和考虑不周的地方。印象比较深刻的是由于访存操作的乱序执行导致两个或多个访存操作之间互相替换CACHE 块并引起死锁。另外一件印象比较深刻的是MIPS指令系统规定转移指令的延迟槽指令不能是转移指令,否则处理器的行为不确定,但我们在C模拟器中发现在我们的设计中如果转移指令的延迟槽指令也是转移指令时也会导致处理器死锁。虽然这是由错误的程序引起的,但也是结构设计考虑不周的地方,对于错误的程序我们可以给出错误的结果,但不能把机器搞死。

由于张福新和李祖松的加入,龙芯2号的C模拟器比龙芯1号完善很多,包括checkpoint在内的很多功能都加到模拟器中,此外,C模拟器的运算速度也大大提高了。张福新还顺手开发了不少小工具。

2002年11月底,我觉得C模拟器已经基本稳定,就召开了龙芯1号总结以及龙芯2号部署的会议,全面部署龙芯2号的RTL设计工作。

2002年12月初我们组建了RTL设计的队伍,由于我们人手有限,RTL编写的人员都是从各组抽调的,我自己也负责寄存器重命名和几个队列模块。龙芯2号的RTL设计大致可以分为三个阶段。

第一阶段为设计阶段。从12月初开始大家花了约半个月的时间了解龙芯2号的结构,同时我开始进行顶层模块的设计,主要是每个模块的互连关系、接口总线及触发器的定义。12月28日完成顶层模块的设计并启动各模块RTL的编写。由于有Cycle-by-Cycle的C模拟器作为参照,2003年1月14日就完成所有模块RTL的编写并编译通过,1月21日成功运行第一条指令。在此基础上,经过三天三夜的努力,到1月25日成功运行龙芯1号中使用的包括所有MIPS指令的一段功能测试程序。由于2002年春节没有放假,因此1月25日后全组放假。

第二阶段为联调阶段。春节后开始在RTL仿真环境上运行LINUX操作系统。经过连续一个多星期的努力,2月18日成功运行LINUX操作系统。在龙芯1号的联调过程中,在运行LINUX后,整个流水线的设计就基本上没有发现什么问题,只发现了部分与浮点有关的问题。但在龙芯2号中,运行LINUX后试图运行whetdstone时碰到了巨大的困难,甚至一度出现停滞不前的情况。因为错误出现在调用动态库的过程中,而且没有动态库的源代码无法调试。不得已我组织RTL编写人员于3月7日和8日进行了两天的封闭式自查。通过自查发现了大大小小20多个错误,使运行whetdstone的联调取得突破性的进展。后来我们又进行了两次封闭自查,只发现一、二处小错误。

第三个阶段为调整和优化阶段,这个阶段是龙芯2号逻辑设计的关键阶段。与联调阶段相 比,优化阶段发现的bug较少,但根据对RTL进行综合以及用C模拟器进行性能分析的结果对整个设计的延迟、面积、性能进行了持续的优化。通过初步的优化,龙芯2号的延迟降低了一倍多,面积降低了30%以上,相同频率的性能提高了30%以上。在这个阶段的每一周都充满了激动人心的改进,深刻体会到精益求精的道理。孔子说“食不厌精”,处理器设计更是如此。用1%的工夫可以完成一个正确的设计,但需要用99%的工夫来优化它。

在龙芯2的RTL优化过程中,我们总结了三条经验。第一条是精益求精的经验。做一个正确的设计和做一个精品的设计是有很大区别的。为了做到精益求精,思想上要永不满足,执着改进。碰到复杂的问题,不能满足于用复杂的方法来解决,要努力把问题简单化再用简单的方法来解决。第二条经验是在执着于细节的理解和把握的同时退后一步进行的全局的观察和思考是十分必要的。在龙芯2号的优化中有很多都是在项目的推进过程中退后一步进行文档整理、看文章、或封闭自查时得到的启示。对设计的微观了解和宏观把握是不可偏废的。如果对设计的细节不做一定的了解,则在整理文档或看文章过程都比较虚,不会有灵感出现;反之,如果过于执着于细节,则可能只见树木,不见森林,忽略了一些大的改进。第三条经验是以事实为依据的经验。对设计进行持续的性能分析、物理综合、以及仿真验证为龙芯2号的改进和改正提供了大量了事实依据。在根据事实进行设计和改进时,一定要在大量的事实和数据的基础上(小量的、不具有代表性的不行)对事实进行深入的分析,弄清楚隐藏在这些事实后面的、本质的东西,这样做的设计和改进才是最优的。

与RTL设计和验证同时进行的是FPGA验证环境的建设。在这个方面我犯了个错误。由于觉得有了龙芯1号的FPGA验证的经验,龙芯2号的FPGA验证应该没有问题,因此只让范宝峡一个人负责FPGA验证工作。没想到由于龙芯2号的规模较大,设计也更加复杂,导致FPGA验证困难重重。主要困难是由于在一片FPGA中放不下,需要多片FPGA,而且多片FPGA之间互连信号太多需要在每片FPGA接口处进行倍频传输。此外由多发射引起的多端口寄存器堆也难以在FPGA中实现。到4月下旬我才意识到FPGA验证方面投入的力量很不够并加强了这方面的力量。直到6月下旬龙芯2号的第一个芯片tapeout之前的半个月,才完成FPGA验证工作并通过FPGA验证及时地发现了设计中的一个错误。

在进行处理器结构和逻辑设计的过程中,其它方面的工作也在同时展开,包括王剑和郑保建带领的龙芯1号系统的继续开发以及龙芯2号软件环境的开发,郑为民带领的龙芯2号主板的开发,许彤、赵继业、钟石强、张珩负责的物理设计和验证方法的总结和研究等等。

就在龙芯2号的RTL设计过程中,SARS在北京肆虐,并给我们极大的考验。那时候所里的政策是所里不统一放假,但各个部门可以根据自己的具体情况放假。我和唐志敏商量后决定我们采取一定的预防措施并适当减轻工作强度。我们要求凡是乘坐公共交通系统上下班的都不来上班,晚上9点前必须下班,每天的中饭和晚饭由室里统一安排在办公室吃。至于外界的来访,所里早就不允许进入北楼了。此外,所里和室里都给我们发放了有关的预防药物,我们自己也买了一些。在这段日子里,虽然我们的进度被迫放慢了一些,但依旧不断地向前推进。我在为全国人民面对灾难时众志成城战胜非典的精神所鼓舞的同时,也为全组在这么困难的情况下坚守岗位所感动。

2003年3月份我们开始部署龙芯2号中用到的一个9个端口的寄存器堆的全定制设计。为了保险,我们部署了两套方案来设计寄存器堆。首选方案是请一个大公司帮我们做这个寄存器堆,同时作为与中科院微电子中心的合作请微电子中心设计同样的寄存器堆作为备选方案。由于首次流片主要是对设计的正确性和结构性能进行验证,因此首次流片除了寄存器堆外还是用ASIC的设计方法,并准备用中科院EDA中心的Synopsys工具进行布局布线以减少购买EDA工具的费用,因此在5月份之前物理设计组的人员也对Synopsys的工具进行了进一步熟悉。2003年5月份开始龙芯2号的物理设计正式展开。从5月初到6月中下旬,我们对使用的方法和流程进行了反复的试验、比较和确定,尤其是关于是否使用层次化设计方法、使用何种Wireload Model、以及Floorplan的方案等进行了反复的试验和尝试,并最后确定方法和流程。到6月底时确定了布局布线的方案并基本完成了布局布线,与流片厂家TSMC联系好准备在7月10日前tapeout。本来一切都在“掌控之中”,但随后发生的两件事情却大大出乎我们的意料。

第一件事情是在6月底完成FPGA验证平台建设后,在用该平台运行SPEC CPU2000程序进行性能分析时有一个程序的浮点结果有时正确有时错误。由于其它程序都运行正确,而且操作系统对虚地址CACHE支持部分还有bug,因此刚开始我没有认为RTL有问题。7月2日下午,张福新在机房中说了一句话:“我觉得还是RTL有问题”,这句话使我心烦意乱,放下正在看的布线工具文档,决定要把这个事情搞清楚。在随后的几十个小时中,我们使用FPGA验证、C模拟器、以及RTL仿真对这段出错的程序进行追踪。终于在7月4日早上找到了一个RTL的bug。好在问题只涉及局部的设计,我们修改完RTL后通过手工修改网表花了一天就完成了ECO的布局布线。

一波未平、一波又起。刚想歇会儿,负责全定制寄存器堆仿真的王林楠报告说寄存器堆不能正常工作。我刚开始不相信,因为为我们设计寄存器堆的C公司是业内非常有名的大公司。但不同的仿真结果都说明寄存器堆有问题。我们花了两三天才说服C公司的设计人员认识到设计错误并且改正过来。此后我们又对寄存器堆做了更多的仿真,并跟一个工具的bug斗争了几天几夜。在此期间,微电子中心的黄令仪老师给了我们极大的支持,否则我们不会这么快对寄存器堆的设计有深入的了解。

由于这两件事情的发生,到7月14日我们终于把龙芯2号的第一个设计tapeout到TSMC时,我们已经连续在机房不分昼夜地干了十几天。但由于在临tapeout前曾经发现寄存器堆设计的问题,因此tapeout之后也不敢松懈,继续对寄存器堆进行分析和仿真。由于EDA工具对较大规模的模拟电路没有有效的支持,主要依靠设计者的经验,我们也请一些电路设计高手帮我们进行分析。经过一个多礼拜心惊肉跳的检查,在排除了一系列可能存在的问题后,最后一个关于电源地规划的问题成为我们关注的焦点,也成了我在此后的几十天中的一块心病。设计者似乎在这方面有疏忽,在最离谱的地方,几十毫安的电流只用了0.28微米的地线。我们与C公司的工程师联系时,他们觉得没有问题,反而说过多的电流会通过衬底流掉。这时候我们在很多高手的指点下已经对全定制设计有所了解,觉得这个问题比较严重,因此在与C公司反

cloud123

楼上的人们都在说龙芯,当然龙芯是一款cpu,不过我认为不是搂主所指的cpu,8086级别的单片机,N年前我们就有了,只是从来就没有进步过。

就应用来说,龙芯(这里指2号,下同)只能算是个嵌入式的cpu而不是通用的cpu(比如AMD的和我们常说的INTEL的),说白了,他是比较高级的单片机(比8086级别的cpu向前迈进了一大步)。

他目前不能支持pc上用的大部分芯片组,甚至连usb2.0都不能支持,不能用windows,应用软件就更不用说了,只能用为他订制的linux,还有据说是用来办公的openoffice(如果你用过微软的office或者金山的wps,你一定不会用这个东东来办公),当然你如果想让这个系统像你的xp,win98,或者win95一样为你工作,你会吐血。

而开发它的人总是喜欢说他的主频已经达到多少Hz,有时也会说达到奔腾N(I, II,III )的水平,这只是在自欺欺人,因为他和奔腾是不能比的,起码目前来说各方面差了十万八千里,当然他们又会说这个不是给pc用的cpu。

面对现实,不要被龙芯迷惑了。我们的cpu才刚刚起步,做出龙芯,只能说明国家开始重视这个东西了,要花力气去做他了。你对你的外国朋友们说,我们有自己的cpu 了,他也许会说,是啊,是Godson吧,下面还有一句肯定咽回去了--这也叫cpu?,笑死人了。

楼上都说龙芯,出于民族自豪感,我也愿意说我们有自己的cpu了,但是却非常痛苦,一个Godson,人们就这么兴奋了?差的还很远。

中国人是很聪明的,有足够的智慧和能力造出搂主所指的cpu,也许几年之内就会有,有一天,也会赶超AMD和INTEL。但是,目前,我们不要说,我们已经有自己的cpu了,为时过早。

说的不对之处,请高人指教。匿了吧。

S笔记

中国第一个32位CpU芯片"方舟-1"投片成功,已可进入量产阶段。

不过还是叫“龙心”的名字还是不错的。记得去年的大软上有过关于“龙心”的报道。说是今年就可以达到p3的水平了,在用不了多久就可以达到低端P4的水平了!

北境漫步

中国现在已经有了龙芯了,也就是CPU,但是外面用的很少的,相对来说没有英特尔和ADM成熟与稳定.

cloudcone

已经出来了啊!

这个芯片一般不是针对咱们个人用户的。

一般都是保密机构使用这个东西。由于自我研发,保密性强

马老四

现在还在研究阶段,还没有用于实际生产。龙芯就是中国的cpu

瑞瑞爱吃桃

龙芯一号

.......

只是性能差些~

FinCloud

龙芯已经去到2代了,性能与P3相等,不过不支持WINDOWS

真可

造肯定来了,真是市场认同度不大

康康map

早出了,超市收款机里的就是

snjk

中国已经造出来了,龙芯

小教板

肯定能,但肯定会很烂

慧慧

已经出来了啊!

黑桃云

已经造出来了啊

不过就是太慢啊

相关推荐

tapeout是什么意思啊?

tapeout 是集成电路设计中一个重要的阶段性成果
2023-07-25 15:00:352

“流片”的英文怎么说

Tapeout , 历史原因,据说最早用磁带把设计文件送出, 于是流片有了tapeout 的说法:)
2023-07-25 15:00:512

电路板中的out是什么意思

out就是输出的意思。1 、电路板都是具有一定功能的系统。2 、最常见的系统形式就是信号输入系统,经过变换得到想要的信号,输出给其他设备使用。3 、输入是in,输出是out。常见的电路系统比如DAC就是输入数字信号,转换成模拟信号,这时候out就是用来输出转换好的模拟信号,用来接耳机等需要模拟信号的设备。扩展资料历史由来当今的IC设计过程当中,需使用到各种EDA工具,经过漫长且复杂的仿真跟验证,才能够将设计好的电路交由晶圆代工厂生产,称之为下线;因此在业界当中,这个词或多或少也包含了一些设计大功告成的祝贺意味在。在比较早期,电子电路的布局(Layout)与光罩(Mask)等要交给后段生产的最终资料,都是存放在磁带(Tape)当中;Tapeout也因而得名。虽然现在已经没有再使用磁带这种储存媒介,而改用光碟片、或直接经由网络上传资料,但业界仍然习惯沿用Tapeout这样的说法。电路板上N-N L-N L-OUT BAT+ BAT-代表意思:1、L = 相线 (火线)2、N = 中性线 (零线)3、L-OUT火线输出4、BAT即Battery,有时候也简写成BT,指的是电池。BAT+和BAT-指电池正极和电池负极。参考资料:百度百科-tapeout_
2023-07-25 15:00:591

tdpie好还是tapeout好

TDPIE职位还是不错的,研发一般做新项目,又是TD的PIE,没有fab pie直接带量产品那么忙那么苦逼,而且一般还有项目奖的。以后往平行fab跳槽,或者跳槽去上游设计公司都有优势。如果一直待在FAB那越老越吃香的。2.我理解中的研究所一般都比较清闲,很多去了研究所太闲又离开的,可能学东西太少太慢,又不内卷。我觉得年轻人一开始工作还是要多吃苦,多学习积累为主。等有了家庭,慢慢跳槽到稳定一些的岗位。但这个研究所是设计岗,可能对未来直接跳槽到芯片设计类公司,更有优势一些。
2023-07-25 15:01:171

调音台上有TAPEOUT还有TAPEIN手机应该接哪个孔

信号输入端口,可连接传统卡座也可连接MP3等便携设备,通过调音台调音和编辑后通过输出端放大收听或混录
2023-07-25 15:01:261

hifi功放preout输出到低音炮

hifi功放preout输出到低音炮可以通过低音炮接口。功放preout接口有三种用法,PREOUT也称低音炮接口,功放接低音炮有两三种低音炮可以接,一种是带有音响线输入的有源超低音,然后是无源超低音(又分为两种,一种只有音箱线输入,等于是并联了一只低音炮。还有一张是有低切输出的。可以接原来的主音箱,相当于无源的2.1系统),最后,如果你的合并功放有录音输出(RECOUT或者TAPEOUT),可以接到有遥控音量的低音炮上。
2023-07-25 15:01:341

英特尔customer engineer是干什么的

英特尔customer engineer是客户工程师。客户工程师要根据公司的销售目标和任务,从技术层面监控和协调公司和客户的沟通,配合销售把各项目从新品流片直至带向量产。客户工程师作为客户的技术接口:为客户提供技术和业务信息,帮助客户从公司内部寻求解决问题的答案和方案,促成客户项目的导入。 作为销售的技术支持:保持与销售团队的紧密合作,达成既定销售目标。客户工程师的职责客户工程师要负责对客户的产品进行妥善版次管控及跟进与汇报客户的项目进度(包括Tapeout);协助公司相关部门(包括测试、技术开发、芯片厂)要求客户提供技术方面的信息。协助公司各部门从客户端获取与确认技术方面的相关信息;通过正式渠道申请或提供相关的信息或资料给客户;与客户建立并保持良好关系,协助客户回答技术与工程方面的提问。拜访客户,参与讨论技术支持等相关议题。customer engineer的使命就是处理客户反馈并推动问题按期结案, 管理和协调工程团队使工程项目顺利导入,并保持稳定生产。
2023-07-25 15:01:561

雅马哈p9500s功放可以连接低音炮吗

雅马哈p9500s功放可以连接低音炮。这是YAMAHA早期的一款AV功放机。机器的型号和后部面板功能接口看不清楚。只要有以下的输出接口就可以接有源低音炮:1、线路输出(LINEOUT)。2、录音输出(TAPEOUT或RECORDOUT)。3、中置输出(CENTREOUT)。4、重低音输出(SUB--WOOFEROUT)。如果功放机上没有上述接口,也可以断开有源低音炮内部的功放电路,直接把功放的扬声器输出与有源低音炮的扬声器(喇叭)连接起来。
2023-07-25 15:02:111

据说A11处理器有55亿个晶体管,工程师是如何设计这么多晶体管的?

现在手机SoC芯片的晶体管数量动辄百亿个,“愚公移山”拼体力一秒画一个,根本不可能。现在的高端芯片设计,已经和体力活说拜拜,设计流程分工极细,设计过程自动化程度极高,这样才能避免芯片上市,“黄花菜”都凉了的尴尬。 下面以数字芯片为例,为大家简单捋一捋芯片设计的过程。 两大流程, SoC芯片设计流程可以分为前端和后端,前端负责逻辑设计、输出门级网表(netlist),后端进行物理设计,输出版图(layout),然后将版图传给芯片厂制造(tapeout)。 顺带说一句为什么传版图给芯片厂叫tapeout。在早期,芯片设计公司都是用磁带(tape)存储芯片版图文件,需要制造时将磁带送到芯片厂,所以叫“tapeout”。这个词一直沿用到现在,即使现在传送版图文件的方式多样化了。 说白了,这是芯片文化的反映,和计算机的“bug”叫法一样,最早就是电子管大型机时代,工程师清扫追寻电子管亮光而被烤死的飞虫,排除飞虫导致的电路故障。后来,“bug"不再指真实世界中的虫子,而是指软件漏洞。 说回芯片设计流程。 芯片设计两大流程 前面说的芯片前端设计,又可细分为行为级、RTL级、门级,行为级描述电路功能,RTL级描述电路结构,门级描述门这一级电路的结构。 芯片后端设计是将前端设计产生的门级网表通过EDA工具进行布局布线,以及物理验证,最终产生供芯片厂制造使用的版图文件。 芯片设计版图详细描述了电路结构,即哪些地方该保留,哪些地方该腐蚀,哪些地方是连线。芯片制造厂将版图制作成光学掩膜,即可用光刻机制造芯片。 上述过程理解比较费力,可以用熟悉的杂志出版打个简单的比方: 前端设计相当于编辑根据选题计划,挑选投稿,编辑处理,并确定哪些稿件排在重要位置(封面文章),哪些稿件仅是填补版面的酱油角色。后端设计的任务,则是将选好的稿件,排成版面,做成版面图文件,交给印刷厂付印。 简单说,芯片前端设计相当于编辑选稿、处理稿件,后端设计相当于版面编辑排版。 芯片设计之所以要分前端和后端,主要是因为芯片特别是高端SoC芯片结构太复杂了。实际上,专业分工是否精细是衡量一个行业复杂度的两大重要指标之一,另一个指标就是自动化程度是否高。 芯片设计就是一个高度自动化的行业,从前端到后端,都离不开EDA软件(Electronic Design Automation,即电子设计自动化)。芯片设计公司在DEA软件平台上完成芯片的前后端设计,不需要手工画电路图。 EDA主要由美国的Cadence和Synopsys公司提供,两家公司都能提供前端和后端设计软件。目前国内的芯片设计公司包括华为海思、中兴、展讯等企业,都离不开Cadence和Synopsys公司的EDA软件平台。为什么非得用Cadence和Synopsys的?因为这两家公司在行业发展几十年,EDA软件功能完备、生态完整,好用。 那么,如何用EDA软件设计芯片呢? 芯片设计七大步,有两步看不到电路 第一步,用Verilog编写电路,这个过程是看不到电路图的,就是一堆描述性语言,以代码形式呈现。 第二步,跑数字仿真,用到的工具有VCS或MMSIM等工具。仿真的目的是看写出来的设计能不能正常工作,这个过程也看不到电路,还是一堆源代码。 第三步,跑完仿真后,将源代码转换成标准单元电路(Standard Cell)。 第四步,用IC-Compiler等工具进行布线,就是把标准单元电路找到对应的位置,用软件进行自动连线,这个过程需要和芯片的制造工艺进行辅助配合。 第五步,再将标准单元电路填入图形,按设计需求连线,形成版图图形。 第六步,完成版图后,还不能马上交付芯片厂生产,谁知道那些单元的连线没连好,造成噪音干扰,导致功耗升高、性能降低。为了消灭潜在bug,需要分别进行设计规则验证、和布局与原理图验证。 第七步,两大验证通过后,就可以把版图制成GDSII电子文件,交给芯片厂流片(小批量试制)。 第八步,流片后对芯片检测,如果芯片功能正常,符合设计要求,OK,让芯片厂大规模生产。 可以看出,芯片整个设计过程共有7个大步骤,全程都通过EDA软件在电脑上完成,不存在工程师手工一个一个画电路图的情形,甚至在前端设计的部分阶段,设计者根本不用考虑晶体管长什么样、有多大,在后端,设计者也不会去数该芯片含有多少晶体管,而由软件自动统计。 正是有了EDA软件的帮助,即使芯片内部有多达百亿级的晶体管,设计起来也轻轻松松,这就是高 科技 的力量和魅力。 工程师不需要一个一个晶体管的去设计芯片。很多现成的电路,比如数模转换电路、微积分电路、傅里叶变换电路等等都是前人设计好了的,后人只需要前一代芯片基础上进行架构的优化和精度提升就行了。 1+1+1。。。55亿个1相加,就算一秒钟算一次要好久啊。到了二三年级就不一样了 首先芯片设计,不是一只只的晶体管设计,而是将成熟或以实验过的单元电路,选择所需要的功能电路,将它们用数据线串联或并联在一起,一块完整芯片就设计完成了。在通过配套的系统控制系统,一个完整的芯片组合系统才算完成,至于芯片所需要的生产技术工艺级别,那就是芯片制造的事了,与芯片设计无关系了。 起初工程师是准备晶体管,把它们集中收好,然后趁处理器不注意的时候一起塞进去。 举个例子吧; 你要盖一栋大楼,需要需要先设计图纸,你这个大楼有10000个房间,但其中有5000个是一样的,还有3000个一样的,还有2个1000个一样的,那么你直接用5个设计方案就够了,把这4个不同的房间设计好,再用一种排列连接方式合在一起就行了, 这样你就不需要去设计10000个,只需要设计4个房间和一个组合方式就好了。 这样用多少材料就一目了然了。 芯片设计也一样,尽管有的芯片里面的元器件有几十亿上百亿个,你只需要设计出怎么排列就行了,里面的型号也就几千个,几万个,只是排列方式不同,把那些一样功能的作为一个整体组合进去就行了。 这些组合方式也不是人工去做的,只需要人的思路就行了,就好比你想把100吨混凝土放在哪一个大楼的位置,不用你几百人上千人亲自去手挖肩扛,直接一辆卡车拉过来就行了。卡车就是那个设计芯片的工具,你来开车,你就是芯片设计人。当然还有塔吊,也是工具。 所以设计芯片就是这么一车一车的累积起来的,而不是一铁锨一铁锨的铲起来的,太慢了。 所以芯片设计需要好几个软件,也就是卡车、塔吊。卷扬机,打桩机等等。 工具很重要,没有工具,你就无法把大楼搞得特别大,比如100万个房间,1千万个房间等等,一个房间就是一个晶体管,多了你就要设计通道,组合等等,用人画是绝对不可能的,只能用软件,画1万个的时间,软件只需要几秒就完成了,人工要多少天呢,上百亿个,要多少年呢,用软件只需要几个月就够了。 尽管这个例子举的不太恰当,能理解个大概就好了,反正我们只是使用者,不关心怎么来的,只关心怎么好用就是了。 ctrl+c, ctrl+v。 模块化设计方法。 设计房子,盖房子,生产砖头水泥钢筋。建筑设计师并不是一粒沙子一勺水泥一根钢筋自己造房子。 比如有个2000万晶体管弄好的部件,这次要用到,就直接拿过来用了。那个部件也是由很多更小的部件组成。 最初的小规模集成电路也就几十个晶体管。 设计大规模超大规模芯片有辅助设计软件,逐次迭代滚动发展,晶体管数越来越多。 看着评论区那么多自以为牛逼的人评论说芯片很好造,和盖楼一样,我就放心了,国家果然还是房地产要发展, 科技 先放一放吧,没什么希望了。还以为会有些看的清的,还是跟随洪流吧。 激光打印机了解下,你个幼儿园的小朋友一笔一划要写多少年啊,光刻机比打印机快万倍
2023-07-25 15:02:191

在半导体集成电路的公司做半导体工艺工程师很累吗?

在foundry干活确实比较累,为了加大出货量生产线基本是不停的。
2023-07-25 15:02:304

请问怎么样通过调音台来录制话筒的声音?

调音台不具有录音功能,如要录音,用电脑或多轨录音机接在调音台的2TKout或tapeout进行录音。
2023-07-25 15:03:002

什么是IC设计服务

茂捷半导体是一家专业从事纯模拟电路和数模混合集成电路设计的IC设计公司。公司资深研发团队将业界先进的设计技术与亚太地区的本土优势产业链相结合,服务全球市场,为客户提供高效率、低功耗、低风险、低成本、绿色化的产品方案和服务。助力于充电器、适配器、照明、锂电充电等产业的发展。
2023-07-25 15:03:114

芯片制造流片一张多少钱?

在现实生活当中就是如果你想买一部手机,那价位选择是非常多的。便宜的有只要一两百的不是智能机的手机,贵一点的就好像苹果得五六千块钱。它们的价钱之所以差那么大,与它们的功能性生产成本等方面是有密切关系的。芯片制造流片也是如此,价格不是唯一的,有贵的也有便宜的,要综合其它方面来讨论。制作流片的费用当中,有很大一笔支出是用于制作mask。这方面的虽然一直在寻求制作工艺的改变,并且也做了非常多的尝试,但是制造的价钱却一直都是居高不下,简单来说就是很贵而且因为标准的提高以后会越来越贵。工艺也是影响价格的重要因素,要是你只是自己尝试做一做,不讲究多复杂的工艺,也不用过于追求严谨,那费用其实还好。但是要是到了能够卖出去,让别人使用的那个地步,工艺要求就很高了,价格也会随之上涨。价格方面单个生产和量产也有非常大的区别,开模的价格非常贵,如果只生产一个,那这个费用就只能算在这一片流片上。但是如果量产,把开模的价钱均摊在许多流片上面,单价就不是那么贵了。量越大,价格会越便宜。芯片制造流片的价格受多方面因素的影响,要综合这些因素来看才能得出结论。
2023-07-25 15:03:302

半导体opc工程师需要干什么

半导体行业的制程工程师至少有三十到五十个不同工作领域,例如:光晶圆厂和封测厂就有数百个制程,又可分前工程,后工程....所以必须有学习和兴趣,下决心入半导体工作,找到一定领域再告诉你具体做什么的;
2023-07-25 15:04:472

mask的clear和dark是什么意思麻烦告诉我

我所了解的:1. 只要是mask上的图案,肯定不透光,因为那个地方是铬。2. layout上某层为dark表示该处不透光(即暗),所以对应到wafer上是保留该处PR(对正胶而言)。3. layout上某层为clear表示该处 透光(即亮),所以对应到wafer上是去掉该处PR(对正胶而言)。4. layout上图案变成mask图案是由clear或dark参数决定。dark就是以后wafer上面要保留下来的(不是曝光的窗口),clear就是要开出来的,要曝光显影掉的。比如你版图上画的M1,金属连线当然是要保留下来的;NW当然是要开出窗口的,所以一般都是clear的1Darkand Clear :TW 和 JP 定义可能有不同,但很多情况是相同2 关键是maskshop 与foundry 对Darkand Clear 的定义必须一致3 tapeout data (GDS)已包含对刻蚀区与非刻蚀区的定义Darkand Clear : 动词或操作(并不针对mask 透光区glass,不透光区Cr 状态的描述)Clear : 请在制作mask时,保持GDS 数据中刻蚀区与非刻蚀区原样,不得改变(mask 与GDS数据的刻蚀区与非刻蚀区必须完全一致)Dark和clear就是定义你的所画的图形,将来做成光罩对应的部分是chrome,还是glass.
2023-07-25 15:05:071

投资机构IC流程是什么

流程如下:第一阶段、设计准备1. 需求分析:市场调研,同功能芯片功能比较。2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、 协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电路(电源电压、ADC、charge pump、FET)。 3. 撰写文档:总体描述功能,画出系统架构、系统状态跳转图,详细描述各个模块功能、寄存器,给出功能设计图、时序图。第二阶段、方案实施 1. 代码实现:根据以上设计准备阶段指定的设计方案 ,工程师在规定的时间内完成代码实现。 在实现过程中,要考虑:面积、速度、clock gating、CDC、latch等设计要点。 2. 功能前仿:在完成代码实现之前和过程中,验证工程师根据设计方案中每一个功能点写出验证case,并统计覆盖率,保证代码里的branch、line toggle、FSM等都有覆盖到。第三阶段、时序分析 1、综合:在完成代码实现和功能仿真后,根据设计方案,制定时序约束文件,综合工具依据时序约束文件生 2、等效检查:利用等效工具,比较RTL代码和综合生成的网表,检查两者一致。 3、静态时序分析:第四阶段:布局布线 1、网表、库文件:完成第三阶段后,向Layout house提供网表和功能实现过程中用到的库文件,其包括: a. 工艺PDK b. stdcell库数据(lef、lib、cdl、gds) c. 验证规则文件(drc、ant、lvs) d. QRC techfile、nxtgrd e. 网表和SDC 同时,还要提供block形状、面积、PIN坐标。 2、功能后仿:提供上述文件后,layout house将进行PR,生成带延时信息的网表和各种Corner的SDF文件。在拿到网表后,需要使用网表进行功能 一致性检查、静态时序分析,并检查spare gate是否被加入到网表里面。 然后利用这个网表和sdf文件,进行功能后仿(测试用例使用之前功能前仿时的case)。 如果发现时序违例,就要分析违例原因。根据违例原因类型,可以采取修改部分代码、ECO、PR修复等方式来解决违例。 如果需要修改代码或者ECO,在修改完后,需要重复第三阶段过程,并将综合的网表再次提供给layout house,layout house返回网表和SDF后,重复上述检查和仿真;如果进行PR修复,那就是直接由layout house进行修改,layout house返回网表和SDF后,重复上述检查和仿真。 3、等效检查:在拿到网表后,要做formality,将PR后的网表跟RTL进行等效比对,检查设计是否一致。 4、时序检查:使用PR后layout house 提供的 网表、spef 文件,以及sdc,重新跑一次post pt。注意要在sdc 里 加上: set_propagated_clock [all_clocks] 同时,注释掉set_ideal_network。然后分析时序报告,如果跟PR提供的时序报告结果不一致,要搞清楚差异在哪里,时序违例要清干净。第五阶段:signoff 1、review:当上述步骤完成后,还要召集相关designer一起review,确保所有设计都是正确无误的。 a. 确认所有功能都与设计方案一致。 b. 确认所有功能点都有仿真激励覆盖到。 c. 确认所有IP的GDS、PIN连接、电源供电、时序关系。 d. 确认D2A、A2D端口的名称、方向、位宽。 只有上述几项都确认无误,最后才能tapeout。
2023-07-25 15:05:141

验证工程师的跃迁从入门到专业

验证工程师的跃迁从入门到专业如下:一、初出茅庐很多自学者和转行同学早期的一个常见状态:熟悉一定数字电路基础,了解数字设计概念;能够看懂verilog,会写简单的verilog,D触发器之类的;会阅读简单的spec,理解产品手册需求和功能要求。二、崭露头角sv+uvm(前3-6个月),这是大部分从事dv工作者的建议,目前除了大型外企的某些岗位是使用c++来做pv的,可以说uvm已经成了硬通货了,这个阶段不求精通但求能用,可以不会搭建环境,但是得会构建场景。三、渐入佳境handle整个模块从release到RTL freeze到gatesim到tapeout以及post-silicon的整个过程,此时uvm对你来说已经不是难点了,虽然偶尔会因为uvm中某些奇怪的特性卡主,但大部分时间都在构思边界场景,以及如何提高覆盖率。你已经可以对一些简单的设计漏洞自行debug,并给出你的修改意见,你会对designer的笔误十分反感。你会花大量的时间研究design spec,偶尔会看一看uvm的源码,会觉得uvm真的非常强大,同时会发现许多介绍uvm的书并不能涵盖一切应用场景。此时,你最关心的是如何在deadline之前确保验证的完备性和验证的可靠性,如何使得一个测试用例随机出尽可能多的的复杂情况,如何使整个环境的自动化水平变高,如何优雅地写sequence,等等。四、登堂入室在deadline之前完成一个中等模块对你来说已经不是难事,你会时不时关心RTL在改版之后对环境和已有用例的影响,总会觉得上一次做的环境不够完美。你会用自己的方法实现覆盖率驱动验证,写环境和构建用例对你来说已经非常容易,你甚至会觉得这是一个体力活。你会发现设计中的一些不合理的地方,比如fifo居然没有反压机制,支持outstanding的数量不够,数据带宽在某些情况下达不到要求,你会花更多的时间去完善testplan,会更多的关注体系结构和上层数据流动。你已经不满足于基于uvm的simulation ,你会使用一些别的验证方法,比如使用形式验证+assertion的组合验证流控和多路访问的仲裁,与此同时你变得越来越“懒”,对自动化的要求越来越高。
2023-07-25 15:05:331

简述spice的四种level的区别

  HSPICE  先来说说HSPICE,记得我们前面讲过的批处理运行吧。在当时的大公司里,这是电路仿真标准的运行方式,但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果,然后修改电路参数再做仿真。如此多次以达到最佳结果。有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计,看到了这里面的问题。与其让几百个客户排队等一个银行柜员,为什么不让每一个客户都有一个柜员呢?问题就是商机。他们决定跳出来开自己的公司。于是78年,Meta-Software成立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧,为什么要以H开头?这可是兄弟俩姓的第一个字母啊)。他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上。就这样,借着计算机硬件改朝换代的东风,越来越多的公司开始使用HSPICE了。直到如今,这个HSPICE成了工业界的”金标准“。只要你做个仿真器,人们一定会跟HSPICE比结果的。而且,在SPICE前面加一个字母成了时尚。到今天,有人开玩笑说A-SPICE一直到Z-SPICE都已经被人用过了(当然,HSPICE仍然是最出名的)。  有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功?呵呵,成功的要素有很多,光用一条是远远不够的。比如说用户的反馈就是相当重要的一条。举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的。像这样的例子还有很多。这些虽然不是什么革命性的技术创新,但它们很实用,能大大提高用户的使用效率。甚至某些时候,对用户来说,这样的小改进比创新的算法更重要。  前面我们提到了七八十年代有很多的 MOSFET器件模型。HSPICE把能拿到的器件模型都收进去了。所以,HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意,它是一本独立的手册。也就是说,光是它里面的七八十个MOSFET模型就是一本书了)。但这样还不够。Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系。在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了。如果你是设计者,你还能不用它吗?这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样,一旦超过了临界质量(criticalmass),它自己就会越滚越大。据Meta-Software的人说,在公司巅峰的时候,他们的销售员就是一台传真机。你只要把传真机号码告诉客户,他们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)。从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE,它的年成长率达到了25-30%。  1996年Meta-Software被Avant!收购。到2001年,Avant!又被Synopsys收购。关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马。它的故事足可以写另一个长篇了。这里且按下不表。  Meta-Software兄弟俩中的老大,ShawnHailey,已于2011年去世。在此之前,他把自己的名字改成了AshawnaHailey。  PSPICE  PSPICE像HSPICE一样,PSPICE的故事也跟它的名字有关。首先,这第一个字母“P”并不是其创始人的名字。事实上,创始人的名字WolframBlume里根本没有字母“P”。那这字母“P”到底是什么意思呢?对了,它就是PC。PSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷。  时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司。工作中,他听到很多抱怨,说公司内部的SPICE速度太慢了。这位老兄也不含糊,立马对其SPICE来了一个详尽的分析。结果发现,大部分时间花在了算 MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想,如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵,又是一个看到商机的主)?恰恰那个时候英特尔推出了支持硬件并行的8085/8086/8087。说干就干。这位老哥创立了MicroSim公司。又是在这时,IBM推出了基于Intel芯片的IBM-PC。另一个机会又来了:只要把SPICE从大型机上移植到PC上就行了。这事儿比起第一个事儿简单太多了。可是,人们当时认为PC就是个游戏机而已,没人拿它来做什么正经事儿(呵呵,看看现在不还是这样吗?)。所以,这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行。  当时的IBM-PC有640KB内存。最大的数组只允许64KB内存。而SPICE是用一个巨长的数组来存储所有的数据。把SPICE的数据放到IBM-PC的结构,用这位老哥的话说,就像把一只鲸鱼塞进一个金鱼缸里。但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理,可他们也快没钱了。这位老兄忽然想到,咱不是把SPICE移植到PC上了吗?咱就先卖着这个软件,用卖它的钱继续开发咱的并行硬件。就这样,PSPICE就开始在PC上出现了。  最初这位老兄想卖硬件加速器的PSPICE版本,可结果恰恰相反,俩年后,纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套。到这时候,这位老兄也明白了。做硬件吃力不讨好,市场并不需要。他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。  同学你看,一个高新复杂的技术并不一定会做出一个卖座的产品。反过来,一个貌似简单的技术可能很受市场的欢迎。另外,PSPICE虽然不是赚钱最多的,但它的用户数绝对是最大的(遍及全世界五大洲)。你可以下载一个免费的PSPICE用。当然,只限于十个晶体管。但这对一般学生的学习来讲,大部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜,就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话,很可能也在用PSPICE。  下面是PSPICE第二版的封面。  MicroSim1998年被OrCAD收购。OrCAD在2000年又被Cadence收购。  Spectre  Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生。其中一个叫 KenKundent。Ken非常有才气。他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小。在加入了Candence后,他看到HSPICE卖的很火,就决定做个新的仿真工具去取代它。这就是Spectre。据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)。SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性。但它并没能取代HSPICE。为什么呢?一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同。Ken计算机编程的功底很深,他设计的Spectre的输入格式像C语言一样。虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范,但SPICE的用户是电路设计者,他们才不管你的语言多么优美,只要好用就行。另外,如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式,用它已经写了成百上千个电路网表,而且它们都工作的好好的,为什么要去换成另外一个呢?另外,还有一个更重要的原因,就是用户对HSPICE的信赖。这种信赖不是一时半会儿就能建立起来的。它是经过几十年,成千上万遍仿真,几百次tapeout(送出去流片)才能形成的。怎么能说换就换呢?  Ken琢磨着,既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西。做什么呢?恰好在九十年代中期,一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)。(这里再插一句,最早的数模混合电路描述语言是MAST,它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的。后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用。而Verilog主要在美国使用)。Ken就想,好吧,我们也来做个标准的设计语言到Spectre里。这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难。首先,既然你是标准,那就要大伙儿都同意。让大伙儿都同意的事是要花时间的,没那么快。其次更重要的,是你要让模拟电路设计者来学习并使用这个语言。这可是比登天还难的事儿。如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言?当然是电路了。至少到今天为止,还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材,几乎全部都是 VHDL或 Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路,那你的年龄够大了)。另外,当你做模拟设计的时候,你是在搭晶体管电路呢,还是在写描述语言?对模拟电路设计者来说,用语言而不用电路来做设计是不可想象的。反过来,对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的。  Spectre-AMS做出来后,Ken发现当时的感兴趣者寥寥无几(呵呵,这哥儿们专找硬骨头啃)。那怎么办?在公司做产品是要卖钱的。Ken有点儿绝望了。这时,他想到了回去做他在学校做的老本行:射频电路仿真。至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHogan。Jim做了个市场调查。那时射频电路设计市场几乎不存在,只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉Ken,Ken也无可奈何的耸耸肩。Jim对Ken看了好一会儿,说,管它呢,你就做去吧。谁知道这一次却是歪打正着了。九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加入了新的做射频电路芯片的设计公司。这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项。因此,Spectre也就借着Spectre-RF的东风开始流行起来了。后来,HSPICE和Smart-Spice也跟风在自己的SPICE中加进了RF的选项。这也算是Spectre对SPICE的功能扩展做的贡献吧。  Smart-Spice  Smart-Spice是Silvaco公司的产品。说到Silvaco,就不得不说它的创始人 IvanPesic。Ivan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样,通过自己的勤奋努力来到美国。来美国之后,他先开了一家修车店。直到攒够了钱,才在1984年成立了Silvaco。他有一个儿子。可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工。因此学习也不上进。怎么让这小子好好学习呢?简单。有一天,老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面,对儿子说:你就坐在这儿,看看汽车修理工一天的工作是什么样的。自从那一天结束以后,儿子的学习成绩就全变成A了。  说到IvanPesic,我们还不得不说他打官司的故事。Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司。话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天,这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是 TCAD(TechnologyCAD),并不是SPICE。这时它也准备开发自己的Smart-Spice,但它拿不到HSPICE的Level28模型。怎么办?Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost。它就找到Meta-Software说,你看,如果把你们的Level28模型公式放到我们的Utmost中,就会有更多的用户用你们的HSPICE。Meta一想也对,就把Level28模型给了Silvaco。没成想,过了二年,Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型。这下Meta-Software气坏了。就把Silvaco告上了法庭。也就在这个前后,Avant!并购了Meta-Software。但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司。也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子。不管是什么原因,当法庭开庭要宣判的那一天,Avant!居然没有人出庭。这下法官可气坏了。好啊,竟敢藐视本法庭,来啊,判Avant!输,并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了。这下到好,不光不用和解了,还得了一大笔钱。呵呵,人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司。所以同学,如果你是学理工出身的,那你不妨去学学法律。如果你是学文科出身的,那你不妨去学学理工。估摸着在不久的将来,国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的。  但是,一个公司如果光靠打官司,那也是赢得不了客户的。说实话,Smart-Spice做得还是蛮不错的,价格又便宜。Smart-Spice还是第一个“基于使用时间许可证”(use-timebased license)的工具。这对许多小公司或个人用户是个好消息。如果你没几万美元去买高大上的商业SPICE,或者你就只需跑几次仿真,那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样。卖车店能赚钱,租车店也会有很多顾客的。这不也是一个很好的商业模式吗?  IvanPesic于2012年因癌症在日本去世。如今,他本来想当汽车修理工的儿子已经继承了老爸的事业,接替掌管Silvaco了。  Aeolus-AS  我们应该感到骄傲– 这是我们中国本土的SPICE。虽然名字叫起来很拗口。光从名字上也看不出这是SPICE。它是由北京华大九天开发的。至于为什么起这样一个名字,还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具。下面的几句话是从华大的网页上摘下来的,也算给他们做个广告吧。“它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前验证大规模电路所遇到的容量、速度瓶颈。Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升,同时支持多核并行。”  还有一类是工业界但非商业(也就是不拿出来卖的)SPICE。通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队。这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了。
2023-07-25 15:06:051

哪里有好点的IC版图培训?想改行做IC版图设计,可是不知道哪里可以提供培训!

版图设计最被看重的是tapeout经验。估计一般的培训机构不会提供流片机会吧。所以只是单纯学习的话,比较有名气的大学或研究机构提供的培训应该还行吧。关键是培训完后马上找一个版图设计的工作。
2023-07-25 15:06:151

电器中OUT是什么意思?

看是在什么接口 如果是在音频接口 就代表输出音频
2023-07-25 15:06:399

投资机构IC流程是什么

流程如下:第一阶段、设计准备1. 需求分析:市场调研,同功能芯片功能比较。2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、 协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电路(电源电压、ADC、charge pump、FET)。 3. 撰写文档:总体描述功能,画出系统架构、系统状态跳转图,详细描述各个模块功能、寄存器,给出功能设计图、时序图。第二阶段、方案实施 1. 代码实现:根据以上设计准备阶段指定的设计方案 ,工程师在规定的时间内完成代码实现。 在实现过程中,要考虑:面积、速度、clock gating、CDC、latch等设计要点。 2. 功能前仿:在完成代码实现之前和过程中,验证工程师根据设计方案中每一个功能点写出验证case,并统计覆盖率,保证代码里的branch、line toggle、FSM等都有覆盖到。第三阶段、时序分析 1、综合:在完成代码实现和功能仿真后,根据设计方案,制定时序约束文件,综合工具依据时序约束文件生 2、等效检查:利用等效工具,比较RTL代码和综合生成的网表,检查两者一致。 3、静态时序分析:第四阶段:布局布线 1、网表、库文件:完成第三阶段后,向Layout house提供网表和功能实现过程中用到的库文件,其包括: a. 工艺PDK b. stdcell库数据(lef、lib、cdl、gds) c. 验证规则文件(drc、ant、lvs) d. QRC techfile、nxtgrd e. 网表和SDC 同时,还要提供block形状、面积、PIN坐标。 2、功能后仿:提供上述文件后,layout house将进行PR,生成带延时信息的网表和各种Corner的SDF文件。在拿到网表后,需要使用网表进行功能 一致性检查、静态时序分析,并检查spare gate是否被加入到网表里面。 然后利用这个网表和sdf文件,进行功能后仿(测试用例使用之前功能前仿时的case)。 如果发现时序违例,就要分析违例原因。根据违例原因类型,可以采取修改部分代码、ECO、PR修复等方式来解决违例。 如果需要修改代码或者ECO,在修改完后,需要重复第三阶段过程,并将综合的网表再次提供给layout house,layout house返回网表和SDF后,重复上述检查和仿真;如果进行PR修复,那就是直接由layout house进行修改,layout house返回网表和SDF后,重复上述检查和仿真。 3、等效检查:在拿到网表后,要做formality,将PR后的网表跟RTL进行等效比对,检查设计是否一致。 4、时序检查:使用PR后layout house 提供的 网表、spef 文件,以及sdc,重新跑一次post pt。注意要在sdc 里 加上: set_propagated_clock [all_clocks] 同时,注释掉set_ideal_network。然后分析时序报告,如果跟PR提供的时序报告结果不一致,要搞清楚差异在哪里,时序违例要清干净。第五阶段:signoff 1、review:当上述步骤完成后,还要召集相关designer一起review,确保所有设计都是正确无误的。 a. 确认所有功能都与设计方案一致。 b. 确认所有功能点都有仿真激励覆盖到。 c. 确认所有IP的GDS、PIN连接、电源供电、时序关系。 d. 确认D2A、A2D端口的名称、方向、位宽。 只有上述几项都确认无误,最后才能tapeout。
2023-07-25 15:07:251

foundry工艺工程师这个职位怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:07:321

女生学微电子是什么体验?

平常认真上课自习,课下积极写作业看书。成绩中上,然而都大三了,感觉自己还是啥都不会。
2023-07-25 15:07:579

NVIDIA什么时候出Dx11显卡?

NV 现在是想搞费米构架 但是又碰到了许多问题 加上时间紧迫 AMD那边逼得太紧5XXX系列太红 NV无奈才放出一代又一代的马甲 G300 也不会有质的提升 实际在拖延时间包括G200 等费米上线的时候准备打个漂亮的翻身仗
2023-07-25 15:08:144

谁有IC的制作流程?

推荐一本书:任艳颖,王彬 编著的《IC设计基础》,关于流程(包括ASIC和FPGA),讲的很详细
2023-07-25 15:08:372

foundry工艺工程师怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:08:451

foundry工艺工程师如何发展

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:08:531

为什么许多EDA工具只有Linux版本

据我所知, Virtuoso (我猜题主说的Cadence指的是Virtuoso或者icfb) 和MMSIM/ICC/DC (我猜题主说的Synopsys是指这些软件中的一个) 在出现的时候, 家用机(PC)还没有如此强大的计算能力, 所以在最早期的EDA工具开发中, 逐渐适用了Server, 也即Sun OS和Linux后来Sun OS挂了(基本也就相当于Linux了), 所以目前很多的EDA Tool依然是基于Linux的. 但是, 很大一部分的工具已经开始向Windows Server 改进了.(Virtuoso 61x已经支持Windows Server了, 虽然Bug多多~; MMSIM很早就随着FPGA系列软件渗入Windows了, 只是可能不直接显示Synopsys商标; )其他一些题主没有提到的优秀的软件(譬如COMSOL, HMSS, ADS等) 早已在Windows 里站稳脚跟. 只是Virtuoso 和 一部分大型的Synopsys软件依然需要依靠Server才能跑, 所以停留在了Linux模式. 个人认为, Linux下的命令行模式进行这些大操作反而方便, 至于电路图或者版图只需辅助以相应的GUI即可; 相比之下, Windows 下的PSpice让我用的痛不欲生... 虽然GUI相比好看很多, 但是模拟的精度和速度... 还是停留在200+ nm比较好... 另外就是这种主流大型软件价格是针对公司/学校这种地方的。 这些地方主流的大型服务器也都是linux(详情可以去看看为什么主流服务器是Linux)这些软件一般给学校等教育场所一些较为便宜的license server, 比如$5000 一年, 足够绝大多数layout/simulation/tapeout 使用了标准商用价格基本是 15,000/lic / year。 我不认为有人会自己闲着无聊去买。所以也不会有针对pc的开发。
2023-07-25 15:09:021

foundry的工艺工程师待遇怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:09:101

silvaco模拟的时候,不输入fermi 是不是软件还是用它

  HSPICE  先来说说HSPICE,记得我们前面讲过的批处理运行吧。在当时的大公司里,这是电路仿真标准的运行方式,但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果,然后修改电路参数再做仿真。如此多次以达到最佳结果。有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计,看到了这里面的问题。与其让几百个客户排队等一个银行柜员,为什么不让每一个客户都有一个柜员呢看问题就是商机。他们决定跳出来开自己的公司。于是78年,Meta-Software成立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧,为什么要以H开头看这可是兄弟俩姓的第一个字母啊)。他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上。就这样,借着计算机硬件改朝换代的东风,越来越多的公司开始使用HSPICE了。直到如今,这个HSPICE成了工业界的地金标准逗。只要你做个仿真器,人们一定会跟HSPICE比结果的。而且,在SPICE前面加一个字母成了时尚。到今天,有人开玩笑说A-SPICE一直到Z-SPICE都已经被人用过了(当然,HSPICE仍然是最出名的)。  有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功看呵呵,成功的要素有很多,光用一条是远远不够的。比如说用户的反馈就是相当重要的一条。举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的。像这样的例子还有很多。这些虽然不是什么革命性的技术创新,但它们很实用,能大大提高用户的使用效率。甚至某些时候,对用户来说,这样的小改进比创新的算法更重要。  前面我们提到了七八十年代有很多的 MOSFET器件模型。HSPICE把能拿到的器件模型都收进去了。所以,HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意,它是一本独立的手册。也就是说,光是它里面的七八十个MOSFET模型就是一本书了)。但这样还不够。Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系。在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了。如果你是设计者,你还能不用它吗看这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样,一旦超过了临界质量(criticalmass),它自己就会越滚越大。据Meta-Software的人说,在公司巅峰的时候,他们的销售员就是一台传真机。你只要把传真机号码告诉客户,他们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)。从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE,它的年成长率达到了25-30%。  1996年Meta-Software被Avant!收购。到2001年,Avant!又被Synopsys收购。关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马。它的故事足可以写另一个长篇了。这里且按下不表。  Meta-Software兄弟俩中的老大,ShawnHailey,已于2011年去世。在此之前,他把自己的名字改成了AshawnaHailey。  PSPICE  PSPICE像HSPICE一样,PSPICE的故事也跟它的名字有关。首先,这第一个字母逗P地并不是其创始人的名字。事实上,创始人的名字WolframBlume里根本没有字母逗P地。那这字母逗P地到底是什么意思呢看对了,它就是PC。PSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷。  时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司。工作中,他听到很多抱怨,说公司内部的SPICE速度太慢了。这位老兄也不含糊,立马对其SPICE来了一个详尽的分析。结果发现,大部分时间花在了算 MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想,如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵,又是一个看到商机的主)看恰恰那个时候英特尔推出了支持硬件并行的8085/8086/8087。说干就干。这位老哥创立了MicroSim公司。又是在这时,IBM推出了基于Intel芯片的IBM-PC。另一个机会又来了:只要把SPICE从大型机上移植到PC上就行了。这事儿比起第一个事儿简单太多了。可是,人们当时认为PC就是个游戏机而已,没人拿它来做什么正经事儿(呵呵,看看现在不还是这样吗看)。所以,这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行。  当时的IBM-PC有640KB内存。最大的数组只允许64KB内存。而SPICE是用一个巨长的数组来存储所有的数据。把SPICE的数据放到IBM-PC的结构,用这位老哥的话说,就像把一只鲸鱼塞进一个金鱼缸里。但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理,可他们也快没钱了。这位老兄忽然想到,咱不是把SPICE移植到PC上了吗看咱就先卖着这个软件,用卖它的钱继续开发咱的并行硬件。就这样,PSPICE就开始在PC上出现了。  最初这位老兄想卖硬件加速器的PSPICE版本,可结果恰恰相反,俩年后,纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套。到这时候,这位老兄也明白了。做硬件吃力不讨好,市场并不需要。他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。  同学你看,一个高新复杂的技术并不一定会做出一个卖座的产品。反过来,一个貌似简单的技术可能很受市场的欢迎。另外,PSPICE虽然不是赚钱最多的,但它的用户数绝对是最大的(遍及全世界五大洲)。你可以下载一个的PSPICE用。当然,只限于十个晶体管。但这对一般学生的学习来讲,大部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜,就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话,很可能也在用PSPICE。  下面是PSPICE第二版的封面。  MicroSim1998年被OrCAD收购。OrCAD在2000年又被Cadence收购。  Spectre  Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生。其中一个叫 KenKundent。Ken非常有才气。他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小。在加入了Candence后,他看到HSPICE卖的很火,就决定做个新的仿真工具去取代它。这就是Spectre。据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)。SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性。但它并没能取代HSPICE。为什么呢看一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同。Ken计算机编程的功底很深,他设计的Spectre的输入格式像C语言一样。虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范,但SPICE的用户是电路设计者,他们才不管你的语言多么优美,只要好用就行。另外,如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式,用它已经写了成百上千个电路网表,而且它们都工作的好好的,为什么要去换成另外一个呢看另外,还有一个更重要的原因,就是用户对HSPICE的信赖。这种信赖不是一时半会儿就能建立起来的。它是经过几十年,成千上万遍仿真,几百次tapeout(送出去流片)才能形成的。怎么能说换就换呢看  Ken琢磨着,既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西。做什么呢看恰好在九十年代中期,一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)。(这里再插一句,最早的数模混合电路描述语言是MAST,它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的。后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用。而Verilog主要在美国使用)。Ken就想,好吧,我们也来做个标准的设计语言到Spectre里。这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难。首先,既然你是标准,那就要大伙儿都同意。让大伙儿都同意的事是要花时间的,没那么快。其次更重要的,是你要让模拟电路设计者来学习并使用这个语言。这可是比登天还难的事儿。如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言看当然是电路了。至少到今天为止,还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材,几乎全部都是 VHDL或 Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路,那你的年龄够大了)。另外,当你做模拟设计的时候,你是在搭晶体管电路呢,还是在写描述语言看对模拟电路设计者来说,用语言而不用电路来做设计是不可想象的。反过来,对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的。  Spectre-AMS做出来后,Ken发现当时的感兴趣者寥寥无几(呵呵,这哥儿们专找硬骨头啃)。那怎么办看在公司做产品是要卖钱的。Ken有点儿绝望了。这时,他想到了回去做他在学校做的老本行:射频电路仿真。至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHogan。Jim做了个市场调查。那时射频电路设计市场几乎不存在,只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉Ken,Ken也无可奈何的耸耸肩。Jim对Ken看了好一会儿,说,管它呢,你就做去吧。谁知道这一次却是歪打正着了。九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加入了新的做射频电路芯片的设计公司。这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项。因此,Spectre也就借着Spectre-RF的东风开始流行起来了。后来,HSPICE和Smart-Spice也跟风在自己的SPICE中加进了RF的选项。这也算是Spectre对SPICE的功能扩展做的贡献吧。  Smart-Spice  Smart-Spice是Silvaco公司的产品。说到Silvaco,就不得不说它的创始人 IvanPesic。Ivan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样,通过自己的勤奋努力来到美国。来美国之后,他先开了一家修车店。直到攒够了钱,才在1984年成立了Silvaco。他有一个儿子。可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工。因此学习也不上进。怎么让这小子好好学习呢看简单。有一天,老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面,对儿子说:你就坐在这儿,看看汽车修理工一天的工作是什么样的。自从那一天结束以后,儿子的学习成绩就全变成A了。  说到IvanPesic,我们还不得不说他打官司的故事。Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司。话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天,这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是 TCAD(TechnologyCAD),并不是SPICE。这时它也准备开发自己的Smart-Spice,但它拿不到HSPICE的Level28模型。怎么办看Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost。它就找到Meta-Software说,你看,如果把你们的Level28模型公式放到我们的Utmost中,就会有更多的用户用你们的HSPICE。Meta一想也对,就把Level28模型给了Silvaco。没成想,过了二年,Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型。这下Meta-Software气坏了。就把Silvaco告上了法庭。也就在这个前后,Avant!并购了Meta-Software。但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司。也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子。不管是什么原因,当法庭开庭要宣判的那一天,Avant!居然没有人出庭。这下法官可气坏了。好啊,竟敢藐视本法庭,来啊,判Avant!输,并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了。这下到好,不光不用和解了,还得了一大笔钱。呵呵,人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司。所以同学,如果你是学理工出身的,那你不妨去学学法律。如果你是学文科出身的,那你不妨去学学理工。估摸着在不久的将来,国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的。  但是,一个公司如果光靠打官司,那也是赢得不了客户的。说实话,Smart-Spice做得还是蛮不错的,价格又便宜。Smart-Spice还是第一个逗基于使用时间许可证地(use-timebased license)的工具。这对许多小公司或个人用户是个好消息。如果你没几万美元去买高大上的商业SPICE,或者你就只需跑几次仿真,那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样。卖车店能赚钱,租车店也会有很多顾客的。这不也是一个很好的商业模式吗看  IvanPesic于2012年因癌症在日本去世。如今,他本来想当汽车修理工的儿子已经继承了老爸的事业,接替掌管Silvaco了。  Aeolus-AS  我们应该感到骄傲– 这是我们中国本土的SPICE。虽然名字叫起来很拗口。光从名字上也看不出这是SPICE。它是由北京华大九天开发的。至于为什么起这样一个名字,还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具。下面的几句话是从华大的网页上摘下来的,也算给他们做个广告吧。逗它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前验证大规模电路所遇到的容量、速度瓶颈。Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升,同时支持多核并行。地  还有一类是工业界但非商业(也就是不拿出来卖的)SPICE。通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队。这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了。
2023-07-25 15:09:171

用职业英语的词造句10个

doctor 医生 engineer 工程师 minister 牧师 clerk 文员 secretary 秘书 professor 教授 actor 演员 editor 编缉 reporter 记者 nurse 护士 air hotess 空姐 pilot 飞机师 salesman 售货员 program director 节目编排人 veterinary surgeon, vet 兽医 merchant 商人 librarian 图书管理员 writer 作家 artist 艺术家 musician 音乐家 dentist 牙医 driver 司机 reception 接待员 waiter 服务员 tourist guide 导游 accountant: 会计 actor: 男演员 actress: 女演员 airline representative: 地勤人员 anchor: 新闻主播 announcer: 广播员 architect: 建筑师 artist: 艺术家 associate professor: 副教授 astronaut: 宇航员. attendant: 服务员 auditor: 审计员 auto mechanic : 汽车技工 baker: 烘培师 barber: 理发师 (男) baseball player: 棒球选手 bell boy: 门童 bellhop: 旅馆的行李员 binman: 清洁工,垃圾工 blacksmith: 铁匠 boxer: 拳击手 broker (agent) : 经纪人 budgeteer: 预算编制者 bus driver: 公车(巴士)司机 butcher: 屠夫,肉商 buyer: 采购员 carpenter:木匠 cartoonist: 漫画家 cashier: 出纳员 chef: 厨师 chemist : 化学师 clerk : 店员 clown :小丑 cobbler: 制(补)鞋匠 computer programmer : 程序员 construction worker : 建筑工人 cook: 厨师 cowboy :牛仔 customs officer :海关官员 dancer : 舞者 dentist: 牙科医生 designer: 设计师 desk clerk: 接待员 detective 侦探 doctor: 医生 door-to-door salesman: 推销员 driver: 司机 dustman: 清洁工 editor : 编辑 electrician :电工 engineer:工程师 farmer: 农夫 fashion designer: 时装设计师 fireman (firefighter): 消防员 fisherman: 渔夫 florist: 花商 flyer: 飞行员 Foreign minister : 外交部长 gardener花匠(园丁) gas station attendant : 加油工 geologist : 地质学家 guard :警卫 guide: 导游 hiredresseer: 理发师,美容师(女) housekeeper : 管家 housewife : 家庭主妇 interpreter :口译员 janitor : 清洁工 journalist: 记者 judge 法官 lawyer :律师 librarian: 图书管理员. life guard :救生员 magician :魔术师 masseur : 男按摩师 masseuse : 女按摩师 mathematician : 数学家 mechanic: 机械师 ,机修工 miner: 矿工 model: 模特儿 monk : 和尚,教士 movie director: 导演 movie star : 电影明星 musician : 音乐家 nun : 尼姑 nurse: 护士 office clerk : 职员 office staff 上班族 operator: 接线员 parachutist: 跳伞人. personnel 职员 pharmacist药剂师 photographer:摄影师 pilot: 飞行员 planner: 计划员 policeman: 警察 postal clerk: 邮政人员 postman :邮差 President: 总统 priest: 牧师 processfor: 教授 real estate agent: 房地产经纪人 receptionist :接待员 repairman :修理工人 reporter : 记者 sailor: 船员,水手 salesman/ selespeople/ salesperson: 售货员 scientist: 科学家 seamstress 女装裁缝师 secretary: 秘书 singer: 歌手 soldiery: 士兵,军人 statistician : 统计员 surveyor: 测量技师 tailor: 裁缝师 taxi driver计程车司机 teacher: 教师 technician : 技术人员 tour guide: 导游 traffic warden: 交通管理员. translator: 翻译(笔译) TV producer: 电视制作人 typist: 打字员 vet: 兽医 veterinarian兽医 waiter: 侍者(服务生) waitress: 女侍者(服务生) welder : 焊接工 writer: 作家 bellhop: 旅馆的行李员 binman: 清洁工,垃圾工 blacksmith: 铁匠 boxer: 拳击手 broker (agent) : 经纪人 budgeteer: 预算编制者 bus driver: 公车(巴士)司机 butcher: 屠夫,肉商 buyer: 采购员 carpenter:木匠 cartoonist: 漫画家 cashier: 出纳员 chef: 厨师 chemist : 化学师 clerk : 店员 clown :小丑 cobbler: 制(补)鞋匠 computer programmer : 程序员 construction worker : 建筑工人 cook: 厨师 cowboy :牛仔 customs officer :海关官员 dancer : 舞者 dentist: 牙科医生 designer: 设计师 desk clerk: 接待员 detective 侦探 doctor: 医生 door-to-door salesman: 推销员 driver: 司机 dustman: 清洁工 editor : 编辑 electrician :电工 engineer:工程师 farmer: 农夫 fashion designer: 时装设计师 fireman (firefighter): 消防员 fisherman: 渔夫 florist: 花商 flyer: 飞行员 Foreign minister : 外交部长 gardener花匠(园丁) gas station attendant : 加油工 geologist : 地质学家 guard :警卫 guide: 导游 hiredresseer: 理发师,美容师(女) housekeeper : 管家 housewife : 家庭主妇 interpreter :口译员 janitor : 清洁工 journalist: 记者 judge 法官 lawyer :律师 librarian: 图书管理员. life guard :救生员 magician :魔术师 masseur : 男按摩师 masseuse : 女按摩师 mathematician : 数学家 mechanic: 机械师 ,机修工 miner: 矿工 model: 模特儿 monk : 和尚,教士 movie director: 导演 movie star : 电影明星 musician : 音乐家 nun : 尼姑 nurse: 护士 office clerk : 职员 office staff 上班族 operator: 接线员 parachutist: 跳伞人. personnel 职员 pharmacist药剂师 photographer:摄影师 pilot: 飞行员 planner: 计划员 policeman: 警察 postal clerk: 邮政人员 postman :邮差 President: 总统 priest: 牧师 processfor: 教授 real estate agent: 房地产经纪人 receptionist :接待员 repairman :修理工人 reporter : 记者 sailor: 船员,水手 salesman/ selespeople/ salesperson: 售货员 scientist: 科学家 seamstress 女装裁缝师 secretary: 秘书 singer: 歌手 soldiery: 士兵,军人 statistician : 统计员 surveyor: 测量技师 tailor: 裁缝师 taxi driver计程车司机 teacher: 教师 technician : 技术人员 tour guide: 导游 traffic warden: 交通管理员. translator: 翻译(笔译) TV producer: 电视制作人 typist: 打字员 vet: 兽医 veterinarian兽医 waiter: 侍者(服务生) waitress: 女侍者(服务生) welder : 焊接工 writer: 作家 WORKER 工人 LABOURER 劳动者 PHYSICAL LABOURER体力劳动者 MENTAL WORKER 脑力劳动者 SKILLED WORKER 熟练工人 UNSKILLED WORKER 非熟练工人 STEEL WORKER 钢铁工人 TEXTILE WORKER 纺织工人 MINER 矿工 MECHANIC 机工,技工 DRIVER 汽车司机 ENGINE DRIVER 火车司机 ELECTRICIAN 电工,电气技师 SAILOR 水手 GARDENER 园丁,花匠,菜农 FORGER 锻工 TURNER 车工,镟工 FITTER 装配钳工 CASTER 铸工 FOUNDRY WORKER 铸造工人,翻砂工人 PAINTER 油漆工 PLUMBER 管子工 BRICKLAYER 砌砖工 CARPENTER 木匠,木工(尢指粗木工) JOINER 细木工 SANITATION WORKER 环卫工人,清洁工 SWITCHMAN 扳道工 CHEF 主厨 COOK 厨师 SALESMAN 男售货员,男推销员 SALESWOMAN 女售货员,女推销员 COPPERSMITH 铜匠,铜器制造人 BLACKSMITH 铁匠,锻工 MILLER 铣工 PLANER 刨工 WELDER 焊工 PRINTER 印刷工人 SHIP-BUILDER 造船工人 PORTER 搬运行李工人 DOCKER 码头工人,船坞工人 STEVEDORE 码头工人,装卸工 LONGSHOREMAN 码头搬运工人 DRILLER 钻控工,打眼工 BUILDER 建筑工人 MESON 石匠 TAILOR 裁缝,缝工,成衣工 SPINNER 纺纱工 DYER染工 TEMPORARY WORKER 临时工 PROBATIONER 试用人员,练习生 ENGINEER 工程师,技师 DESIGNER 设计师 DOCTOR 医生,医帅,大夫 PILOT 驾驶员,飞行员,领航员, STEWARDESS 空中小姐 CAPTAIN 船长,机长 PROFESSOR 教授 LECTURER 讲师 TEACHER 教师 PRESIDENT 大学校长,大学院长,总统,董事长 HEADMASTER 中小学的男校长 HEADMISTRESS 中小学的女校长 ARTIST 艺术家,美术家 PAINTER 画家 MUSICIAN 音乐家 VIOLINIST 小提琴手 PIANIST 钢琴家 SINGER 歌唱家 SONGSTER 歌手 DECORATOR 室内装饰师 SPORTSMAN 运动家,运动员 COACH 教练 REFEREE 足球等的裁判员 SCOREKEEPER 记分员 LAWYER 律师 BUSINESSMAN 实业家,商人 TRADESMAN 零售商人,小商人 PEDLAR 小贩,商贩 FARMER 农民 WEATHERMAN 气象员 VETERIANARIAN 兽医 BEE-KEEPER / APIARISE 养蜂人,养蜂家 FISHERMAN 渔民,捕鱼人 pocitian政客 adventurist冒险者
2023-07-25 15:04:191

如何使Java中的InputStream的深层复制

我们知道在Java中存在这个接口Cloneable,实现该接口的类都会具备被拷贝的能力,同时拷贝是在内存中进行,在性能方面比我们直接通过new生成对象来的快,特别是在大对象的生成上,使得性能的提升非常明显。然而我们知道拷贝分为深拷贝和浅拷贝之分,但是浅拷贝存在对象属性拷贝不彻底问题。关于深拷贝、浅拷贝的请参考这里:渐析java的浅拷贝和深拷贝一、浅拷贝问题我们先看如下代码:[java] view plain copypublic class Person implements Cloneable{ /** 姓名 **/ private String name; /** 电子邮件 **/ private Email email; public String getName() { return name; } public void setName(String name) { this.name = name; } public Email getEmail() { return email; } public void setEmail(Email email) { this.email = email; } public Person(String name,Email email){ this.name = name; this.email = email; } public Person(String name){ this.name = name; } protected Person clone() { Person person = null; try { person = (Person) super.clone(); } catch (CloneNotSupportedException e) { e.printStackTrace(); } return person; } } public class Client { public static void main(String[] args) { //写封邮件 Email email = new Email("请参加会议","请与今天12:30到二会议室参加会议..."); Person person1 = new Person("张三",email); Person person2 = person1.clone(); person2.setName("李四"); Person person3 = person1.clone(); person3.setName("王五"); System.out.println(person1.getName() + "的邮件内容是:" + person1.getEmail().getContent()); System.out.println(person2.getName() + "的邮件内容是:" + person2.getEmail().getContent()); System.out.println(person3.getName() + "的邮件内容是:" + person3.getEmail().getContent()); } } -------------------- Output: 张三的邮件内容是:请与今天12:30到二会议室参加会议... 李四的邮件内容是:请与今天12:30到二会议室参加会议... 王五的邮件内容是:请与今天12:30到二会议室参加会议... 在该应用程序中,首先定义一封邮件,然后将该邮件发给张三、李四、王五三个人,由于他们是使用相同的邮件,并且仅有名字不同,所以使用张三该对象类拷贝李四、王五对象然后更改下名字即可。程序一直到这里都没有错,但是如果我们需要张三提前30分钟到,即把邮件的内容修改下:[java] view plain copypublic class Client { public static void main(String[] args) { //写封邮件 Email email = new Email("请参加会议","请与今天12:30到二会议室参加会议..."); Person person1 = new Person("张三",email); Person person2 = person1.clone(); person2.setName("李四"); Person person3 = person1.clone(); person3.setName("王五"); person1.getEmail().setContent("请与今天12:00到二会议室参加会议..."); System.out.println(person1.getName() + "的邮件内容是:" + person1.getEmail().getContent()); System.out.println(person2.getName() + "的邮件内容是:" + person2.getEmail().getContent()); System.out.println(person3.getName() + "的邮件内容是:" + person3.getEmail().getContent()); } } 在这里同样是使用张三该对象实现对李四、王五拷贝,最后将张三的邮件内容改变为:请与今天12:00到二会议室参加会议...。但是结果是:[java] view plain copy张三的邮件内容是:请与今天12:00到二会议室参加会议... 李四的邮件内容是:请与今天12:00到二会议室参加会议... 王五的邮件内容是:请与今天12:00到二会议室参加会议... 这里我们就疑惑了为什么李四和王五的邮件内容也发送了改变呢?让他们提前30分钟到人家会有意见的!其实出现问题的关键就在于clone()方法上,我们知道该clone()方法是使用Object类的clone()方法,但是该方法存在一个缺陷,它并不会将对象的所有属性全部拷贝过来,而是有选择性的拷贝,基本规则如下:1、 基本类型如果变量是基本很类型,则拷贝其值,比如int、float等。2、 对象如果变量是一个实例对象,则拷贝其地址引用,也就是说此时新对象与原来对象是公用该实例变量。3、 String字符串若变量为String字符串,则拷贝其地址引用。但是在修改时,它会从字符串池中重新生成一个新的字符串,原有紫都城对象保持不变。基于上面上面的规则,我们很容易发现问题的所在,他们三者公用一个对象,张三修改了该邮件内容,则李四和王五也会修改,所以才会出现上面的情况。对于这种情况我们还是可以解决的,只需要在clone()方法里面新建一个对象,然后张三引用该对象即可:[java] view plain copyprotected Person clone() { Person person = null; try { person = (Person) super.clone(); person.setEmail(new Email(person.getEmail().getObject(),person.getEmail().getContent())); } catch (CloneNotSupportedException e) { e.printStackTrace(); } return person; } 所以:浅拷贝只是Java提供的一种简单的拷贝机制,不便于直接使用。对于上面的解决方案还是存在一个问题,若我们系统中存在大量的对象是通过拷贝生成的,如果我们每一个类都写一个clone()方法,并将还需要进行深拷贝,新建大量的对象,这个工程是非常大的,这里我们可以利用序列化来实现对象的拷贝。二、利用序列化实现对象的拷贝如何利用序列化来完成对象的拷贝呢?在内存中通过字节流的拷贝是比较容易实现的。把母对象写入到一个字节流中,再从字节流中将其读出来,这样就可以创建一个新的对象了,并且该新对象与母对象之间并不存在引用共享的问题,真正实现对象的深拷贝。[java] view plain copypublic class CloneUtils { @SuppressWarnings("unchecked") public static <T extends Serializable> T clone(T obj){ T cloneObj = null; try { //写入字节流 ByteArrayOutputStream out = new ByteArrayOutputStream(); ObjectOutputStream obs = new ObjectOutputStream(out); obs.writeObject(obj); obs.close(); //分配内存,写入原始对象,生成新对象 ByteArrayInputStream ios = new ByteArrayInputStream(out.toByteArray()); ObjectInputStream ois = new ObjectInputStream(ios); //返回生成的新对象 cloneObj = (T) ois.readObject(); ois.close(); } catch (Exception e) { e.printStackTrace(); } return cloneObj; } } 使用该工具类的对象必须要实现Serializable接口,否则是没有办法实现克隆的。[html] view plain copypublic class Person implements Serializable{ private static final long serialVersionUID = 2631590509760908280L; .................. //去除clone()方法 } public class Email implements Serializable{ private static final long serialVersionUID = 1267293988171991494L; .................... } 所以使用该工具类的对象只要实现Serializable接口就可实现对象的克隆,无须继承Cloneable接口实现clone()方法。
2023-07-25 15:04:211

上海政法类大学排名

上海政法类大学共有三所,排名为:华东政法大学、上海政法学院、上海公安学院。1、华东政法大学华东政法大学是新中国创办的第一批高等政法院校。1952年6月,经华东军政委员会批准,圣约翰大学、复旦大学、南京大学、东吴大学、厦门大学、沪江大学、安徽大学、上海学院、震旦大学等9所院校的法律系、政治系和社会系合并,在圣约翰大学旧址成立华东政法学院。2、上海政法学院上海政法学院简称“上政”,是上海市属公办全日制普通本科院校。截至2022年10月,学校占地面积1000余亩;有13个二级院部,40余个本科专业(方向);有4个一级学科硕士点,6个专业学位硕士点;全日制在校学生11000余人;教职工1000余人。3、上海公安学院上海公安学院,位于中国上海,1949年6月建校,是上海唯一培养高素质应用型警务人才的政法类本科高等院校,系本科层次的上海市属普通高等学校。历经中央公安学院上海分院、上海市公安学校、上海公安专科学校、上海公安高等专科学校等发展阶段。
2023-07-25 15:04:211

Object类 的Clone为什么要用protected

利用protected修饰clone方法,是为了安全考虑。Object类中的clone方法是浅拷贝,如果是对象,它拷贝的只是这个对象的一个引用,而这个引用仍然指向那个对象,当我们改变这个引用的属性时,原来对象也会跟着改变,这不是我们希望看到的,但是Object类肯定做不到深拷贝,因为它不知道你的类里有哪些引用类型,所以把修饰符定义为protected,这样想要在其他任何地方调用这个类的clone方法,这个类就必须去重写clone方法并且把修饰符改为public,并且把修饰符修改为public,这样在任何地方都可以调用这个类的clone方法了。如上图所示,类A是要被克隆的类,类B相当于要使用A的地方,如果类A不重写clone方法,在B类中是调不到clone方法的,因为A和B既不是子父类关系,也不在同一个包下,所以clone方法对B是不可见的。当类A实现Cloneable接口并且重写clone方法后,clone方法在B类中就可见了,也就是说我们在任何地方都可以克隆A了。总结:用protected修饰clone方法,主要是为了让子类去重写它,实现深拷贝,以防在其他任何地方随意调用后修改了对象的属性对原来的对象造成影响。http://blog.csdn.net/liyagangcsdn/article/details/68946795
2023-07-25 15:04:301

荔枝微课后台能看到用户使用情况吗?

能看到。在应用《荔枝微课》操作手册中了解到该应用上的课程是实时更新的,是能看到用户的使用情况的。荔枝微课隶属于深圳十方融海科技有限公司 ,是一家国内大众知识分享平台。专注知识分享,立志成为一所线上的社会大学,提升用户各项技能素养。
2023-07-25 15:04:311

email是什么意思

email意思是指电子邮件。该词为在互联网诞生之后才被创造出来,属于新兴词汇,使用人群多为年轻人。该词常出现于注册账号时,需要填写用户的email,以及与他人交友互换email时使用。电子邮件也被称为“伊妹儿”。互联网上最广泛使用的服务:是一个通过互联网的电子邮件系统,无论送到哪里都只需要支付电话费和互联网费,可以在世界任何地方(几秒钟内发送)。同时,可以获得大量免费新闻、专题邮件,实现简单的信息检索。这是任何传统方式都无法比拟的。电子邮件的易用性、快速送达、低廉的费用、易于保存的方便性以及全球的便利性,使得电子邮件的广泛使用,人们的交流方式发生了巨大的变化。电子邮箱的特点介绍:1、收发信件:利用电子邮箱,用户不但可以发送普通信、挂号信、加急信,也可以要求系统在对方收到信件后回送通知,或阅读信件后送回条等。另外还有定时发送、读信后立即回信或转发他人、多址投送(一封信同时发给多人)等功能。用户可以直接在邮箱系统内写信,对方收到的信件归类存档,删除无用信件。2、直接投送:若对方是非邮箱用户,可以将信件直接送到对方的传真机、电传机、打印机或分组交换网的计算机上。3、布告栏:一个供大家使用的公告邮箱,用户可以向此邮箱发送自己希望发布的信息,供大家阅读。布告栏适于做公告、发布通知和广告。
2023-07-25 15:04:341

荔枝微课可以修改自己的课件吗

不可以。荔枝微课的主页设置偏向于Model化。首页只能添加一个专题,一个专栏版块和一个课程版块,且顺序是不能调整的,专栏和课程的title也是不能进行修改的。荔枝微课是一个专注大众知识分享的平台,全平台所有功能终身免费使用。在这里,每个人都可以随时随地开课分享,也可以听课学习。
2023-07-25 15:04:381

JAVA RuntimeException 问题

推荐的那个答案其实不精确的。首先,“RuntimeException发生后,说明代码存在BUG”这句话是没问题的,但用来说明RuntimeException却不合适,也没有意义。因为发生任何未处理的异常,都说明代码存在BUG。这句话如何理解呢,就拿ArrayIndexOutOfBoundsException来说,数组下标越界,你定义一个int is = new int[5];然后for(int index = 0;index<6;index++){int i = is[index];...}如果不看"..."中的内容,数组一定会越界,程序一定会在这里抛出异常。但如果...换成了 if(i == 4) break;那么这段代码就完全没有问题了。一般的ide,简单的代码逻辑是能分析出可能出现的情况并提示你的(但不会强制要求你改,因为语法没问题)。但编译器和ide不可能在编译时深入的去研究你的代码逻辑是否正常。而且你这段代码在语法上完全没有问题,它在编译时根本不知道这个异常是否会抛出,但是!如果下标越界那确实有问题,异常就必须要抛。所以就有人这样理解运行时异常:“RunTime:运行时,很简单,就是“运行时”异常。它表明,这个异常只有在运行的时候才能知道是否有异常,这就是运行时异常,又叫不可查异常,而编译时异常又叫可查异常!”这种说法不能算错,但也不严谨,因为很多编译时异常也是不可查的。比如你要读某一个文件123.txt,在编译时,编译器是不会去检查这个文件是否存在的(有人说,那编译时为什么不检查?你这样想:如果编译时文件存在,而且当程序还没执行到这里时,我把文件删了怎么办),这种情况下,程序也只有在运行时,才能知道文件是否存在,按上面的说法,这个异常(FileNotFoundException)也应该是运行时异常才对。但,稍微玩过java的人都知道,这个异常被强制要求处理,它是编译时异常。既然编译时异常和运行时异常都可能无法预期,那为什么还要有运行时异常和编译时异常之分呢,这个答案我找遍网络也找不到,java官方也没有详细说明,只是说运行时异常不强制要求处理,jvm会自动接管并一直向上抛出,直到栈顶或被处理。所以我自己总结了一点,我也不知道是否正确:RuntimeException,只是为了“减少代码中对异常做的处理而必须写代码”而引入的。拿一个很常见的运行时异常:nullPointException。空指针异常。这个异常发生在一个对象是null时,你对它进行了方法调用等操作。在java中,所有的Object都可以赋值为null的,如果NullPointException强制需要处理的话,那么几乎你的每一句代码都应该被try{}catch(NullpointException){}所包括,这样的java代码想想都可怕。我在一些网站上找到了这么一句话:“RuntimeException 类及其子类表示‘JVM 常用操作"引发的错误”,没错,这句话可能正说明了重点,正是因为这些异常发生在“JVM常用操作”中,也就是说这些异常随处都可能发生,而你不可能在代码的所有地方都try{}catch(RuntimeException){},所以为了简化代码,JVM对这些异常进行了默认处理:“抛出”。归根结底,运行时异常就是为了减少try{}catch(){}或throws 这类代码而引入的。纯手打,完全个人见解,欢迎讨论。
2023-07-25 15:04:394

主板MICRO-STAR INTERNATIONAL CO., LTD 产品 MS-6337的驱动程序

http://tw.msi.com/index.php?func=downloaddetail&type=driver&maincat_no=1&prod_no=312官网上的
2023-07-25 15:04:393

give me five英语一般怎么回答

回答:Good job.干得好。 give vt. 给;产生;让步;举办;授予 n. 弹性;弯曲;伸展性 vi. 捐赠;面向;有弹性;气候转暖 例句: Give us the newspaper, will you? 把报纸给我好吗? Can you give me your coordinates? 能给我你的坐标吗? 扩展资料   Okey dokey. I"ll give you a call.   好的好的",我会给你打电话的。   Can I give anyone a top-up?   我来给哪位添酒好吗?   Can you give us a progress report?   你可以给我们提供进度报告吗?
2023-07-25 15:04:401

三星fold3韩版跟国行有什么区别吗?

三星fold3韩版跟国行区别如下:1、韩版是支持5G的,国行版是不支持的。2、三星fold韩版没有三星pay,而国行版是有三星pay的。3、除此之外,三星fold韩版没有指纹支付,而三星fold国行版则支持指纹支付功能。三星fold3性能参数简介。Samsung Galaxy Z Fold3 5G内屏是一块为7.6英寸AMOLED屏,采用屏下摄像头;外屏是一块6.2英寸AMOLE屏;配色有幻影黑、幻影绿、幻影银三种颜色;重量为271克。Samsung Galaxy Z Fold3 5G搭载高通骁龙888处理器,采用了后置三摄的解决方案,1200万像素主摄+1200万像素超广角镜头+1200万像素变焦镜头;拥有4400毫安时电池和25W快充。Samsung Galaxy Z Fold3 5G主屏顶部是UDC屏下摄像头,采用400万像素,单位像素尺寸2.0微米,光圈F1.8;外屏的自拍摄像头为1000万像素,光圈F2.2,单位像素尺寸1.22微米;后置三摄,均为1200万像素,包括一个超广角,F2.2、1.12微米,一个广角。
2023-07-25 15:04:161

谁有《Give me five 》的歌词?

http://mp3.baidu.com/m?f=ms&tn=baidump3lyric&ct=150994944&lf=2&rn=10&word=Give+me+five+&lm=-1
2023-07-25 15:04:1510

荔枝微课抽成比例

荔枝微课与讲师的合作模式升级为4:6分成(讲师4成,平台6成)。深度合作是2:8分成(讲师2成,平台8成)这种合作模式,荔枝微课将全网推广(包括但不限于,首页推荐位,行业推荐位,公众号推文,社群推广,蒲公英商城推广等等)。2018年开始,荔枝微课与讲师的合作模式升级为4:6分成(讲师4成,平台6成),通过这个模式,大部分老师都获得了很好的收益。也使得荔枝微课开发出更好的合作模式。语文学习技巧想学好语文,绝对不能只重视课本的学习,多多阅读极为重要。学霸熊思甚至认为多读是提高阅读分析和写作能力最好的方法。在寒暑假的时候,多抽出一些时间阅读,不要仅局限教材,书的种类要尽可能广泛,这样写作的时候,我们脑海里才会有足够多优秀的素材。平时在学校学习的时候,阅读也是每天都要做的事情,每天阅读一定不能少于20分钟。
2023-07-25 15:04:141

名词后缀有哪些规则

问题一:名词加后缀ful或less有哪些规律 答案:一般来说?ful?在后缀变换名词为形容词是加‘的"的意思 而加上?less表示的是其反义词性的意思 eg. ???careful??小心的???careless?粗心的 helpful??有帮助的???helpless??无力照顾自己的,无助的?无能力的 但注意??不是所有的名词加上less都是表示反义的意思?有可能是其他意义?也不是所有的ful都有反义的less eg.???timeless就是永恒的意思,不是时间不够的意思??而?shameless?并不是?shameful?的反义词 而beautiful就没有神马beautiless 问题二:名词加后缀成职业的规律 动词变名词有很多种,除了加er,or,ant,ist还有ess等等,具体变过来的有这么多,你可以看看:accountant: 会计 actor: 男演员 actress: 女演员 airline representative: 地勤人员 anchor: 新闻主播 announcer: 广播员 architect: 建筑师 artist: 艺术家 associate professor: 副教授 astronaut: 宇航员. attendant: 服务员 auditor: 审计员 auto mechanic : 汽车技工 baker: 烘培师 barber: 理发师 (男) baseball player: 棒球选手 bell boy: 门童 bellhop: 旅馆的行李员 binman: 清洁工,垃圾工 black *** ith: 铁匠 boxer: 拳击手 broker (agent) : 经纪人 budgeteer: 预算编制者 bus driver: 公车(巴士)司机 butcher: 屠夫,肉商 buyer: 采购员 carpenter:木匠 cartoonist: 漫画家 cashier: 出纳员 chef: 厨师 chemist : 化学师 clerk : 店员 clown :小丑 cobbler: 制(补)鞋匠 puter programmer : 程序员 construction worker : 建筑工人 cook: 厨师 cowboy :牛仔 customs officer :海关官员 dancer : 舞者 dentist: 牙科医生 designer: 设计师 desk clerk: 接待员 detective 侦探 doctor: 医生 door-to-door sale *** an: 推销员 driver: 司机 dustman: 清洁工 editor : 编辑 electrician :电工 engineer:工程师 farmer: 农夫 fashion designer: 时装设计师 fireman (firefighter): 消防员 fisherman: 渔夫 florist: 花商 flyer: 飞行员 Foreign minister : 外交部长 gardener花匠(园丁) gas station attendant : 加油工 geologist : 地质学家 guard :警卫 guide: 导游 hiredresseer: 理发师,美容师(女) housekeeper : 管家 housewife : 家庭主妇 interpreter :口译员 janitor : 清洁工 journalist: 记者 judge 法官 lawyer :律师 librarian: 图书管理员. life guard :救生员 magician :魔术师 masseur : 男 *** 师 masseuse : 女 *** 师 mathematician : 数学家 mechanic: 机械师 ,机修工 miner: 矿工 model: 模特儿 monk : 和尚,教士 movie director: 导演 movie star : 电影明星 musician : 音乐家 nun : 尼姑 nurse: 护士 office clerk : 职员 office staff 上班族 operator: 接线员 parachutist: 跳伞人. personnel 职员 pharmacist药剂师 photogr......>> 问题三:名词后缀的规律性 10分 名词后缀(Noun suffix) a)表示“agent(行为者)” -an(-ian ,-en)(L.) history→historian历史学家 music→musician音乐家 city→citizen市民 -ant(-ent)(L.) assist→assistant助手 study→student学生 -er(-eer ,-ar ,-or)(OE/L) teach→teacher教师 write→writer作家 run→runner跑的人(注意重复词尾辅音字母) engine→engineer工程师 beg→beggar乞丐 act→actor演员 -ist(Gr.L.) art→artist艺术家 flower→florist花商(花店老板) -ee(L.) employ→employee雇员 examine→examinee应考生 -ess(OE)(指女性) act→actress女演员 poet→poetess(女诗人) b)表示“abstract noun(抽象名词)” -cy(L.) bankrupt→bankruptcy破产 -al(L.) arrive→arrival到达 -ance(-ence)/-ancy(-ency)(L.) appear→appearance出现 differ→difference不同;差异 expect→expectancy预期 consist→consistency 一贯;一致 -dom(OE) free→freedom自由 wise→wisdom智慧 -ry(L.) poet→poetry诗 machine→machinery机械类 -hood(OE) child→childhood 儿童期;幼时 mother→motherhood母性 -ice(L.) just→justice正义 serve→service服务 -ion(L.) relate→relation关系 tense→tension紧张 -i *** (Gr.) ego→egoi *** 利己主义 ideal→ideal厂 *** 理想主义 -ment(L.) agree→agreement同意 state→statement声明 -ness(OE) kind→kindness仁慈 polite→politeness礼貌 -ship(OE) friend→friendship友谊 hard→hardship艰难 -ty(L.) cruel→cruelty残忍 safe→safety安全...>> 问题四:动词变名词的规则(英语) 动词变名词很多都是加后缀构成的. (1) 具有某种职业或动作的人 1)-an, -ain, 表示……地方的人,精通……的人”American, historian, 2)-al, 表示具有……职务的人 principal, 3)-ant,-ent, 表示……者” merchant, agent, servant, student, 4)-ar, 表示……的人” scholar, liar, peddler 5)-ard, -art, 表示做……的人”coward, laggard, braggart(夸张者) 6)-arian, 表示……派别的人, ……主义的人”humanitarian, vegetarian 7)-ary, 表示从事……的人 secretary, missionary 8)-ant, 表示具有……职责的人 candidate, graduate 9)-ator, 表示做……的人 educator, speculator(投机者) 10)-crat, 表示某种政体,主义的支持者 democrat, bureaucrat 11)-ee, 表示动作承受者 employee, examinee 12)-eer, 表示从事于……人 engineer, volunteer 13)-er, 表示从事某种职业的人, 某地区,地方的人 banker, observer, Londoner, villager 14)-ese, 表示 ……国人,…..地方的人”Japanese, Cantonese 问题五:英语那些单词的前缀和后缀有没有规律的 英语单词有规律可寻的就是通过词根(roots / stems)产生的单词。词根加上前缀(prefixes)、后缀(suffixes)就变成一个个单词。 前缀与后缀统称为词缀(affixes)。广义而言,所有的词根不是前缀就是后缀,就是说不是处于一个单词的前面位置就是后面位置,为了避免混淆,我们这里的前缀、后缀、词根都使用狭义概念。有不少单词除了前缀后缀不止有一个词根,也有不少单词只由词根构成。 前缀长短不一,短的有1~3个字母构成,它有几个基本作用,例如表示否定、重复、时间先后、方位内外上下、数字、国家等等。就常用的举例来说,前缀a-,anti-,counter-,dis-,il- / im- / in- / ir-,mis-,un- 等都表示否定;re-表示重复;ante-,centr-,ex-,extro-,intro-,pre-,post-,sur-,sub- 等表示时间先后或者具体抽象的方位;Anglo-(英国),Franco-(法国),Sino-(中国)等都表示国家。   表示数字1~10的前缀就有两种,分别来自希腊语和拉丁语。希腊语的对应前缀是mono-,duo- / di-,tri-,tetra-,penta-,hexa-,hepta-,这是1~7,10是deka- / dec-;拉丁语的对应前缀比较完整,1~10分别是uni-,bi- / duo-,tri-,quad- / quart-,quint-,sext-,sept-,oct- / octo-,nona- / novem-,dec- / deca-。此外,与数字有关的前缀还有cent-(one hundred),multi-(many),prim- / prin-(first),second- / second- / sec-(second),ambi-(both),equi-(equal),omni-(all),semi- / sem-(half),demi-(half),这些源自拉丁语;hemi-(half),pan-(all),这几个源自希腊语。   后缀比较单纯,其基本作用是表示否定、词性等等。最常用的表示否定的后缀就是-less了,这个后缀也表示这个词为形容词,其它常用的形容词后缀还有-able / -ible,-ac / -iac,-al,-ant,-ent,-ful,-ic / -ical,-ine,-ish,-ive,-ly,-ose,-ous等等;常用的名词性后缀也有不少,如-ability / -ibility,-acy / -cy,-age,-ance / -ancy,-ary / -arium,-dom,-ence / -ency,-er / -or / -yer,-ery,-hood,-ion / -tion,-i *** / -ist,-ness,-oid / -oda / -ode,-ory / -orium等等;常用的动词性后缀有-ate,-fy,-ize / -ise等等;许多副词以-ly结尾,但是一个名词加上-ly时成为形容词,如friendly。   英语中许多由词根构成的单词显得比较正式,通常用于正式场合,而平时使用时,人们常常会用一个更通俗一点的词语(或合成词)来表达相......>>
2023-07-25 15:04:091

fold有屈服的意思吗

fold有屈服的意思fold:包;折叠;交叉;拥抱 翻倍;屈服;倒闭 折层;折痕
2023-07-25 15:04:091

给我GIVE ME FIVE的日文歌词··中文翻译的随便的。

Give me five!桜(さくら)の歌(うた)が街(まち)に流(なが)れSakura no utaga machini nagare街上播著樱花的歌あっという间だった别(わか)れの日(ひ)Ato i uma data wakare no hi转眼就到了分别的日子校舎(こうしゃ)の壁(かれ)のその片隅(かたすみ)Koushano kareno sono katasumi在校舍墙壁上的那个角落みんなでこっそり寄セ书きしたMinnade kousoriyosekakishita大家偷偷写下了寄语制服(せいふく)はもう脱ぐんだSeifuku ha mouru gunda制服已经脱下了春(はる)の风(かぜ)に吹(ふ)かれながらHaruno kazeni fukarenagara无论甚麼花都被春风吹拂著どんな花もやがては散ってDonna haramoyagatehachitte不久后都要被吹散开去新(あたら)しい梦(ゆめ)を见(み)るAtarashii yumewo miru继续寻找新的梦想友(とも)よ 思(おも)い出(で)より 辉(かがや)いてるTomoyo omoideyori kagayaiteru朋友,我们要相信比回忆更加灿烂的明天明日(あす)を信(しん)じろうAsuwo shinjirouそう 卒业(そつぎょう)とは 出口(でぐち)じゃなくSou sotsugyoutoha deguchijyanaku对,毕业不是出口入(い)り口(ぐち)だろうiriguchidarou而是新的入口才对吧友(とも)よ それぞれの道(みち) 进(すす)むだけだTomoyo sorezorenomichi susumudakeda朋友,只要沿著各自的道路前进就好「さよなら」を言(い)うなSayonarawo iuna不说再见また すぐに会(あ)える だから今(いま)はMada suguniaeru dakaraimaha我们很快会再相遇ハイタツチしようhaitachishiyou所以现在来击掌吧!何枚写真を撮って见ても nanmai shashin wo totte mitemo试着拍几张照片大事だったものは残せないdaiji datta mono ha nokose nai重要的东西也不能留下多少喧哗して口闻いてなかった kenka shite kuchi kii tenakatta架的时候我没能说一句话あいつと何故か肩を组んでたaitsu to nazeka kata wo kundeta却为何与她抱肩相拥まだ谁も帰らなくて mada daremo kaera nakute谁也没回来的教室が狭く见えるよkyoushitsu ga semaku mieruyo教室显得有些狭小窓に押しい时间の先に madoni oshii jikan no sakini在令人恋恋不舍时间的尽头仆らの未来があるbokura no mirai ga aru我们的未来在那里涙 来られるより namida korareru yori比起强忍着眼泪生まれてから 一番泣いてみよumare tekara ichiban naitemiyo不如来哭有生以来最大的一场そう 辛いことは sou tsurai koto ha对,艰辛的事物またまたある 惯れておこうぜmatamata aru nareteo kouze还会有很多很多,就去习惯适应吧涙 ぐしゃぐしゃの颜 namida gushagusha no kao被眼泪浸透的脸颊见せ合ったら 何でも话せるねmise attara nandemo hanase rune看着的话,真是什么都会说呢一生の亲友だ 忘れるなよ isshou no shinyuu da wasure runayo我们是一生的挚友,可别忘记了哟ハイタッチしようhaitacchi shiyou来击掌吧友よ 思い出より tomoyo omoide yori朋友啊,比起回忆来辉いてる 明日を信じようkagayai teru asu wo shinji you我们更相信明天会更辉煌そう 卒业とは sou sotsugyou to ha对,毕业出口じゃなく 入り口だろうdekuchi janaku irikuchi darou并非是出口,而是入口才对吧友よ 巡り逢えて tomoyo meguri aete朋友啊,你我相遇的那些时光最高だった 青春の日々にsaigou datta seishun no hibi ni是我们青春里最美好的日子まだ 言えなかった mada ie nakatta还没好好说的那些ありがとうを ハイタッチで…arigatou wo haitacchi de那些感谢,就通过击掌来回赠你吧
2023-07-25 15:04:071

email是什么意思

email是电子邮件的意思,也就是电子邮件的英文。该词为在互联网诞生之后才被创造出来,属于新兴词汇,使用人群多为年轻人。该词常出现于注册账号时,需要填写用户的email,以及与他人交友互换email时使用。 email是电子邮件的意思 email是电子邮件的意思,也就是电子邮件的英文。该词常常在用户注册账号时出现,需要填写用户的email,也是网络流行语。 email除了用于注册账号时出现,也会用于与他人交友时用到。双方常常会互换email,用来联系对方,也是作为自身身份认证的一种象征。 email一词在没有发明互联网之前是不存在的,属于近代造词。该词的使用年龄较短,属于新兴词汇,更多使用人群为年轻人。
2023-07-25 15:04:051

关于2008北京奧运会的60个英文单词。

Mascotto 吉祥物 holy fire of the Olympics 奥运圣火 Aquatics(水上运动) Swimming 游泳 freestyle 自由泳 backstroke 仰泳 breaststroke 蛙泳 butterfly 蝶泳 individual medley 个人混合泳 freestyle relay 自由泳接力 medley relay 混合泳接力 Water polo 水球 Diving 跳水 10m platform event 十米跳台 3m springboard event 三米跳板 synchronised diving from 10 m platform 双人十米跳台 synchronised diving from 3 m springboard 双人三米跳板 Synchronised swimming 花样游泳 Archery(射箭) Individual events 个人赛 Team events 团体赛 Athletics(田径) Track 径赛 100 m, 200 m, 400 m 100米,200米,400米 800 m, 1,500 m, 5,000 m, 10,000 m 800米,1500米,5,000米,10,000米 110 m hurdles, 400 m hurdles 110米栏,400米栏 3,000 m steeplechase 3000米障碍赛 4 x 100 m relay, 4 x 400 m relay 4×100米接力,4×400米接力 Jumping 跳跃 high jump 跳高 pole vault 撑杆跳高 long jump 跳远 triple jump 三级跳远 Throwing 投掷 shot put 推铅球 discus 掷铁饼 hammer 掷链球 javelin 标枪 Decathlon 男子十项全能 Heptathlon 女子七项全能 Road events 公路赛 marathon 马拉松 walk 竞走 Ball Games(球类运动) Badminton 羽毛球 men"s singles 男子单打 women"s singles 女子单打 men"s doubles 男子双打 women"s doubles 女子双打 mixed doubles 混合双打 Baseball 棒球 Basketball 篮球 Football 足球 Handball 手球 Hockey / Field Hockey 曲棍球 Softball 垒球 Table Tennis 乒乓球 Tennis 网球 Volleyball 排球 Beach Volleyball 沙滩排球 Cycling(自行车) Road cycling 公路自行车赛 Track cycling 场地自行车赛 sprint 追逐赛 time trial 计时赛 points race 计分赛 pursuit 争先赛 Mountain bike 山地自行车赛 Equestrian(马术) Jumping 障碍赛 Dressage 盛装舞步 Eventing 三日赛 Fencing(击剑) Foil 花剑 Epee 重剑 Sabre 佩剑 Gymnastics(体操) Artistic Gymnastics 竞技体操 Floor Exercises 自由体操 Pommel Horse 鞍马 Rings 吊环 Vault 跳马 Parallel Bars 双杠 Horizontal Bar 单杠 Uneven Bars 高低杠 Balance Beam 平衡木 Rhythmic Gymnastics 艺术体操 Gymnastics Trampoline 蹦床 Modern Pentathlon(现代五项) Shooting 射击 Fencing 击剑 Swimming 游泳 Riding 马术 Cross-country running 越野跑 Sailing(帆船) Windsurfer men / women - Mistral one design 男子/女子帆板米氏级 Single-handed Dinghy Women - Europe 女子帆船欧洲级 Single-handed Dinghy men - Finn 男子帆船芬兰人级 Single-handed Dinghy open - Laser 激光级 Double-handed Dinghy men / women - 470 男子/女子帆船470级预赛 Double-handed Dinghy open - 49er 49人级 Multihull open - Tornado 龙卷风级 Keelboat men - Star 男子星光级 Keelboat women - Yngling 女子索林级 Shooting(射击) 10 m air rifle 10米气步枪 10 m air pistol 10米气手枪 Men"s 10 m running target 男子10米移动靶 Men"s 50 m rifle prone position 男子50米步枪卧射 50 m rifle three positions 50米步枪3种姿势 Men"s 50 m pistol 男子50米手枪 Women"s 25 m pistol 女子25米手枪 Men"s 25 m rapid fire pistol 男子25米手枪速射 Trap 多向飞碟 Double trap 双多向飞碟 Skeet 双向飞碟 Triathlon(铁人三项) Swimming 游泳 Cycling 自行车 Running 跑步 Weightlifting(举重) Snatch 抓举 Clean and jerk 挺举 Wrestling(摔跤) greco-roman 古典式摔跤 free style 自由式摔跤 Rowing(赛艇) Boxing(拳击) Canoeing(皮划艇) Judo(柔道)
2023-07-25 15:04:022

“fold”的过去式 、反义词、名词、形容词的英文分别是什么?

“fold”的过去式是folded反义词unfold名词的为fold形容词的为:fold没有形容词fold英 [fəʊld] 美 [fold] vt.折叠;合拢;抱住;笼罩n.折痕;信徒;羊栏vi.折叠起来;彻底失败【造句】1.Shefoldeduptheletterandputitinherpocket.她把信折起来放进口袋。2.Foldyourarmsandsitupstraight!两臂交叉,坐直!3.Hefoldedthepillsinapieceofpaper.他用纸包住药丸。4.Shefoldedthecryingchildtoherbreast.她把哭闹的小孩搂抱在怀中。5.Thenewrestaurantfoldedupinlessthanayear.这家餐厅不到一年就歇业了。
2023-07-25 15:04:011

利用词根巧妙记忆雅思词汇

   利用词根巧妙记忆雅思词汇    ev=age,表示“年龄,时代”   longevity n 长寿,长命(long 长+ev+ityu2192长年龄u2192长寿)   primeval a 原始的,远古的(prim 最初+eval 时代的u2192最早时代的u2192原始的)   medieval a 中世纪的(medi 中间+evalu2192中间时代的u2192中世纪的)   coeval a 同年龄的,同时代的(co 共同+evalu2192同时代的)   coevality n 同时代;同龄(coeval+ity)    fac,fic=face,表示“脸,面”   face n 脸   facet n 平面;(东西的)一面(fac+etu2192像脸的一面u2192平面,表面)   facetious a 轻浮的,开不正经玩笑的( facet 表面+iousu2192做表面化u2192轻浮的)   preface n 前言,序言(pre 前面+faceu2192前脸u2192前言)   surface n 表面(sur 在u2026上面+faceu2192在脸上u2192表面)   deface v 毁坏;诽谤(de 弄坏+faceu2192把脸面弄坏u2192毁坏)   efface v 擦掉;消除(ef 出+faceu2192把脸上的东西弄出去u2192擦掉)   ineffaceable a 不能消除的,抹不掉的(in 不+efface 抹掉+able)   superficial a 肤浅的;表面的(super 在u2026上面+fic[=fac脸]+ialu2192在脸上面u2192肤浅的)    duc,duct=lead,bring,表示“引导,带来”   duct n 管,导管   ductile a 可塑的,可拉长的(duct 引导+ile 能 --的u2192能引导的u2192可塑的)   aqueduct n 导水管(aque 水+duct)   educate n 教育;养育(e 出+duc+ateu2192引出知识u2192教育)   education n 教育(educate+ion)   educe v 引出,引起(e 出+duccu2192引出)   eduction n 引出;推断(e+duct+ion)   abduct v 诱拐(ab 离开+ductu2192引开u2192诱拐)   deduce v 推论,演绎(de 向下+duce 引u2192向下引u2192推论)   deduction n 推论;减法(de+duct+ion)   induce v 劝诱,诱导(in 进入+duce_ 引进去u2192诱导)   inducement n 引诱;劝诱(induce+ment)   induct v 引导;传授(in 进入+ductu2192引进u2192引导)   introduce v 介绍,引入(intro 进入+duceu2192引进,介绍)   produce v 生产N.农产品(pro 向前+duceu2192引向前u2192生产)   product n 产物,产品(pro+ductu2192引出的东西u2192产品)   productive a 生产的;多产的(product+ive)   productivity n 生产力,生产活动(product+ivity)   reduce v 降低,减少(re 回+duceu2192往回引u2192减少)   conduct n 行为;指挥(con 共同+duct_u2192引导大家一起做u2192指挥)   conductor n 指挥家;乘务员(conduct+or)   seduce v 勾引;诱奸(se 离开+duceu2192引开u2192勾引)   seduction n 引诱;诱惑力(se+duct+ion)   traduce v 诽谤,中伤(tra 横++duce_向横引u2192不正u2192诽谤)   viaduct n 高架桥(via 路+duct_引导路的路u2192高架桥)   ventiduct n 通风道(venti 通风+duct;参考:ventillate通风)
2023-07-25 15:04:001