barriers / 阅读 / 详情

芯片制造流片一张多少钱?

2023-07-27 03:14:12
TAG: 芯片
共2条回复
wpBeta

题主,这个价钱是不一定的,因为制造流片受很多因素得影响,所以会导致价钱也会变化的。就比如会因为流片的来源啊,流片的生产规模啊,流片使用人的身份啊之类的,这些因素都会影响这个价格的。而且,有可能流片在一家厂家制造,也有可能会出现价格不同的现象。


首先,我们来说说,制造一个流片需要什么吧,一个流片制造需要掩膜和很多个硅片,信儿啊一个流片的价格也是由一个掩膜和很多个硅片的价格构成的,而且掩膜的价格是占很大一部分的。

tapeout

还有就是制作流程方面,一个流片刚开始就是制造,然后各种流程,再到装瓶测试。之后才能称为一个流片,在这个过程中,最花钱的应该就是合法的正版的ip核授权了,然后第二贵的就是mpw tapeout,紧接着第三贵的就是封瓶测试的。所以,在这过程中,如果哪一个环节价格对不上,或者花费超额,那么整个过程的价格就会上升,所以流片的价格就会贵。

tapeout

TSMC mpw 40nm对应的联系到的报价单位都是按照block算的,而且最小的计费单位也是按照3mm x 3mm的一个block算得。不过网上现在好像没有这方面的报价,在这里不也就不说报价了。在这里说一个数据吧,制造的花费和装瓶测试的花费比例大概是7:3。而且每个公司的报价也都是不同的,还会有人拒绝报价,或者是有的公司会退给另一个公司,另一个公司会推给另另一个公司,结果最后得到的报价是很高很高的,有的居然还会收服务费。

tapeout

就比如做一个CPU芯片来说,首先core方面可以自己去写,也可以使用免费的版本,然后带一个还能说得过去的cache,然后就是买合法的ip,最后的配置其实很一般的就可以了,最后就是装瓶测试。不过这里面还要包括人工费,技术费用等。

苏萦

在现实生活当中就是如果你想买一部手机,那价位选择是非常多的。便宜的有只要一两百的不是智能机的手机,贵一点的就好像苹果得五六千块钱。它们的价钱之所以差那么大,与它们的功能性生产成本等方面是有密切关系的。芯片制造流片也是如此,价格不是唯一的,有贵的也有便宜的,要综合其它方面来讨论。

tapeout

制作流片的费用当中,有很大一笔支出是用于制作mask。这方面的虽然一直在寻求制作工艺的改变,并且也做了非常多的尝试,但是制造的价钱却一直都是居高不下,简单来说就是很贵而且因为标准的提高以后会越来越贵。工艺也是影响价格的重要因素,要是你只是自己尝试做一做,不讲究多复杂的工艺,也不用过于追求严谨,那费用其实还好。但是要是到了能够卖出去,让别人使用的那个地步,工艺要求就很高了,价格也会随之上涨。

tapeout

价格方面单个生产和量产也有非常大的区别,开模的价格非常贵,如果只生产一个,那这个费用就只能算在这一片流片上。但是如果量产,把开模的价钱均摊在许多流片上面,单价就不是那么贵了。量越大,价格会越便宜。


芯片制造流片的价格受多方面因素的影响,要综合这些因素来看才能得出结论。

相关推荐

tapeout是什么意思啊?

tapeout 是集成电路设计中一个重要的阶段性成果
2023-07-25 15:00:352

“流片”的英文怎么说

Tapeout , 历史原因,据说最早用磁带把设计文件送出, 于是流片有了tapeout 的说法:)
2023-07-25 15:00:512

电路板中的out是什么意思

out就是输出的意思。1 、电路板都是具有一定功能的系统。2 、最常见的系统形式就是信号输入系统,经过变换得到想要的信号,输出给其他设备使用。3 、输入是in,输出是out。常见的电路系统比如DAC就是输入数字信号,转换成模拟信号,这时候out就是用来输出转换好的模拟信号,用来接耳机等需要模拟信号的设备。扩展资料历史由来当今的IC设计过程当中,需使用到各种EDA工具,经过漫长且复杂的仿真跟验证,才能够将设计好的电路交由晶圆代工厂生产,称之为下线;因此在业界当中,这个词或多或少也包含了一些设计大功告成的祝贺意味在。在比较早期,电子电路的布局(Layout)与光罩(Mask)等要交给后段生产的最终资料,都是存放在磁带(Tape)当中;Tapeout也因而得名。虽然现在已经没有再使用磁带这种储存媒介,而改用光碟片、或直接经由网络上传资料,但业界仍然习惯沿用Tapeout这样的说法。电路板上N-N L-N L-OUT BAT+ BAT-代表意思:1、L = 相线 (火线)2、N = 中性线 (零线)3、L-OUT火线输出4、BAT即Battery,有时候也简写成BT,指的是电池。BAT+和BAT-指电池正极和电池负极。参考资料:百度百科-tapeout_
2023-07-25 15:00:591

tdpie好还是tapeout好

TDPIE职位还是不错的,研发一般做新项目,又是TD的PIE,没有fab pie直接带量产品那么忙那么苦逼,而且一般还有项目奖的。以后往平行fab跳槽,或者跳槽去上游设计公司都有优势。如果一直待在FAB那越老越吃香的。2.我理解中的研究所一般都比较清闲,很多去了研究所太闲又离开的,可能学东西太少太慢,又不内卷。我觉得年轻人一开始工作还是要多吃苦,多学习积累为主。等有了家庭,慢慢跳槽到稳定一些的岗位。但这个研究所是设计岗,可能对未来直接跳槽到芯片设计类公司,更有优势一些。
2023-07-25 15:01:171

调音台上有TAPEOUT还有TAPEIN手机应该接哪个孔

信号输入端口,可连接传统卡座也可连接MP3等便携设备,通过调音台调音和编辑后通过输出端放大收听或混录
2023-07-25 15:01:261

hifi功放preout输出到低音炮

hifi功放preout输出到低音炮可以通过低音炮接口。功放preout接口有三种用法,PREOUT也称低音炮接口,功放接低音炮有两三种低音炮可以接,一种是带有音响线输入的有源超低音,然后是无源超低音(又分为两种,一种只有音箱线输入,等于是并联了一只低音炮。还有一张是有低切输出的。可以接原来的主音箱,相当于无源的2.1系统),最后,如果你的合并功放有录音输出(RECOUT或者TAPEOUT),可以接到有遥控音量的低音炮上。
2023-07-25 15:01:341

英特尔customer engineer是干什么的

英特尔customer engineer是客户工程师。客户工程师要根据公司的销售目标和任务,从技术层面监控和协调公司和客户的沟通,配合销售把各项目从新品流片直至带向量产。客户工程师作为客户的技术接口:为客户提供技术和业务信息,帮助客户从公司内部寻求解决问题的答案和方案,促成客户项目的导入。 作为销售的技术支持:保持与销售团队的紧密合作,达成既定销售目标。客户工程师的职责客户工程师要负责对客户的产品进行妥善版次管控及跟进与汇报客户的项目进度(包括Tapeout);协助公司相关部门(包括测试、技术开发、芯片厂)要求客户提供技术方面的信息。协助公司各部门从客户端获取与确认技术方面的相关信息;通过正式渠道申请或提供相关的信息或资料给客户;与客户建立并保持良好关系,协助客户回答技术与工程方面的提问。拜访客户,参与讨论技术支持等相关议题。customer engineer的使命就是处理客户反馈并推动问题按期结案, 管理和协调工程团队使工程项目顺利导入,并保持稳定生产。
2023-07-25 15:01:561

雅马哈p9500s功放可以连接低音炮吗

雅马哈p9500s功放可以连接低音炮。这是YAMAHA早期的一款AV功放机。机器的型号和后部面板功能接口看不清楚。只要有以下的输出接口就可以接有源低音炮:1、线路输出(LINEOUT)。2、录音输出(TAPEOUT或RECORDOUT)。3、中置输出(CENTREOUT)。4、重低音输出(SUB--WOOFEROUT)。如果功放机上没有上述接口,也可以断开有源低音炮内部的功放电路,直接把功放的扬声器输出与有源低音炮的扬声器(喇叭)连接起来。
2023-07-25 15:02:111

据说A11处理器有55亿个晶体管,工程师是如何设计这么多晶体管的?

现在手机SoC芯片的晶体管数量动辄百亿个,“愚公移山”拼体力一秒画一个,根本不可能。现在的高端芯片设计,已经和体力活说拜拜,设计流程分工极细,设计过程自动化程度极高,这样才能避免芯片上市,“黄花菜”都凉了的尴尬。 下面以数字芯片为例,为大家简单捋一捋芯片设计的过程。 两大流程, SoC芯片设计流程可以分为前端和后端,前端负责逻辑设计、输出门级网表(netlist),后端进行物理设计,输出版图(layout),然后将版图传给芯片厂制造(tapeout)。 顺带说一句为什么传版图给芯片厂叫tapeout。在早期,芯片设计公司都是用磁带(tape)存储芯片版图文件,需要制造时将磁带送到芯片厂,所以叫“tapeout”。这个词一直沿用到现在,即使现在传送版图文件的方式多样化了。 说白了,这是芯片文化的反映,和计算机的“bug”叫法一样,最早就是电子管大型机时代,工程师清扫追寻电子管亮光而被烤死的飞虫,排除飞虫导致的电路故障。后来,“bug"不再指真实世界中的虫子,而是指软件漏洞。 说回芯片设计流程。 芯片设计两大流程 前面说的芯片前端设计,又可细分为行为级、RTL级、门级,行为级描述电路功能,RTL级描述电路结构,门级描述门这一级电路的结构。 芯片后端设计是将前端设计产生的门级网表通过EDA工具进行布局布线,以及物理验证,最终产生供芯片厂制造使用的版图文件。 芯片设计版图详细描述了电路结构,即哪些地方该保留,哪些地方该腐蚀,哪些地方是连线。芯片制造厂将版图制作成光学掩膜,即可用光刻机制造芯片。 上述过程理解比较费力,可以用熟悉的杂志出版打个简单的比方: 前端设计相当于编辑根据选题计划,挑选投稿,编辑处理,并确定哪些稿件排在重要位置(封面文章),哪些稿件仅是填补版面的酱油角色。后端设计的任务,则是将选好的稿件,排成版面,做成版面图文件,交给印刷厂付印。 简单说,芯片前端设计相当于编辑选稿、处理稿件,后端设计相当于版面编辑排版。 芯片设计之所以要分前端和后端,主要是因为芯片特别是高端SoC芯片结构太复杂了。实际上,专业分工是否精细是衡量一个行业复杂度的两大重要指标之一,另一个指标就是自动化程度是否高。 芯片设计就是一个高度自动化的行业,从前端到后端,都离不开EDA软件(Electronic Design Automation,即电子设计自动化)。芯片设计公司在DEA软件平台上完成芯片的前后端设计,不需要手工画电路图。 EDA主要由美国的Cadence和Synopsys公司提供,两家公司都能提供前端和后端设计软件。目前国内的芯片设计公司包括华为海思、中兴、展讯等企业,都离不开Cadence和Synopsys公司的EDA软件平台。为什么非得用Cadence和Synopsys的?因为这两家公司在行业发展几十年,EDA软件功能完备、生态完整,好用。 那么,如何用EDA软件设计芯片呢? 芯片设计七大步,有两步看不到电路 第一步,用Verilog编写电路,这个过程是看不到电路图的,就是一堆描述性语言,以代码形式呈现。 第二步,跑数字仿真,用到的工具有VCS或MMSIM等工具。仿真的目的是看写出来的设计能不能正常工作,这个过程也看不到电路,还是一堆源代码。 第三步,跑完仿真后,将源代码转换成标准单元电路(Standard Cell)。 第四步,用IC-Compiler等工具进行布线,就是把标准单元电路找到对应的位置,用软件进行自动连线,这个过程需要和芯片的制造工艺进行辅助配合。 第五步,再将标准单元电路填入图形,按设计需求连线,形成版图图形。 第六步,完成版图后,还不能马上交付芯片厂生产,谁知道那些单元的连线没连好,造成噪音干扰,导致功耗升高、性能降低。为了消灭潜在bug,需要分别进行设计规则验证、和布局与原理图验证。 第七步,两大验证通过后,就可以把版图制成GDSII电子文件,交给芯片厂流片(小批量试制)。 第八步,流片后对芯片检测,如果芯片功能正常,符合设计要求,OK,让芯片厂大规模生产。 可以看出,芯片整个设计过程共有7个大步骤,全程都通过EDA软件在电脑上完成,不存在工程师手工一个一个画电路图的情形,甚至在前端设计的部分阶段,设计者根本不用考虑晶体管长什么样、有多大,在后端,设计者也不会去数该芯片含有多少晶体管,而由软件自动统计。 正是有了EDA软件的帮助,即使芯片内部有多达百亿级的晶体管,设计起来也轻轻松松,这就是高 科技 的力量和魅力。 工程师不需要一个一个晶体管的去设计芯片。很多现成的电路,比如数模转换电路、微积分电路、傅里叶变换电路等等都是前人设计好了的,后人只需要前一代芯片基础上进行架构的优化和精度提升就行了。 1+1+1。。。55亿个1相加,就算一秒钟算一次要好久啊。到了二三年级就不一样了 首先芯片设计,不是一只只的晶体管设计,而是将成熟或以实验过的单元电路,选择所需要的功能电路,将它们用数据线串联或并联在一起,一块完整芯片就设计完成了。在通过配套的系统控制系统,一个完整的芯片组合系统才算完成,至于芯片所需要的生产技术工艺级别,那就是芯片制造的事了,与芯片设计无关系了。 起初工程师是准备晶体管,把它们集中收好,然后趁处理器不注意的时候一起塞进去。 举个例子吧; 你要盖一栋大楼,需要需要先设计图纸,你这个大楼有10000个房间,但其中有5000个是一样的,还有3000个一样的,还有2个1000个一样的,那么你直接用5个设计方案就够了,把这4个不同的房间设计好,再用一种排列连接方式合在一起就行了, 这样你就不需要去设计10000个,只需要设计4个房间和一个组合方式就好了。 这样用多少材料就一目了然了。 芯片设计也一样,尽管有的芯片里面的元器件有几十亿上百亿个,你只需要设计出怎么排列就行了,里面的型号也就几千个,几万个,只是排列方式不同,把那些一样功能的作为一个整体组合进去就行了。 这些组合方式也不是人工去做的,只需要人的思路就行了,就好比你想把100吨混凝土放在哪一个大楼的位置,不用你几百人上千人亲自去手挖肩扛,直接一辆卡车拉过来就行了。卡车就是那个设计芯片的工具,你来开车,你就是芯片设计人。当然还有塔吊,也是工具。 所以设计芯片就是这么一车一车的累积起来的,而不是一铁锨一铁锨的铲起来的,太慢了。 所以芯片设计需要好几个软件,也就是卡车、塔吊。卷扬机,打桩机等等。 工具很重要,没有工具,你就无法把大楼搞得特别大,比如100万个房间,1千万个房间等等,一个房间就是一个晶体管,多了你就要设计通道,组合等等,用人画是绝对不可能的,只能用软件,画1万个的时间,软件只需要几秒就完成了,人工要多少天呢,上百亿个,要多少年呢,用软件只需要几个月就够了。 尽管这个例子举的不太恰当,能理解个大概就好了,反正我们只是使用者,不关心怎么来的,只关心怎么好用就是了。 ctrl+c, ctrl+v。 模块化设计方法。 设计房子,盖房子,生产砖头水泥钢筋。建筑设计师并不是一粒沙子一勺水泥一根钢筋自己造房子。 比如有个2000万晶体管弄好的部件,这次要用到,就直接拿过来用了。那个部件也是由很多更小的部件组成。 最初的小规模集成电路也就几十个晶体管。 设计大规模超大规模芯片有辅助设计软件,逐次迭代滚动发展,晶体管数越来越多。 看着评论区那么多自以为牛逼的人评论说芯片很好造,和盖楼一样,我就放心了,国家果然还是房地产要发展, 科技 先放一放吧,没什么希望了。还以为会有些看的清的,还是跟随洪流吧。 激光打印机了解下,你个幼儿园的小朋友一笔一划要写多少年啊,光刻机比打印机快万倍
2023-07-25 15:02:191

在半导体集成电路的公司做半导体工艺工程师很累吗?

在foundry干活确实比较累,为了加大出货量生产线基本是不停的。
2023-07-25 15:02:304

请问怎么样通过调音台来录制话筒的声音?

调音台不具有录音功能,如要录音,用电脑或多轨录音机接在调音台的2TKout或tapeout进行录音。
2023-07-25 15:03:002

什么是IC设计服务

茂捷半导体是一家专业从事纯模拟电路和数模混合集成电路设计的IC设计公司。公司资深研发团队将业界先进的设计技术与亚太地区的本土优势产业链相结合,服务全球市场,为客户提供高效率、低功耗、低风险、低成本、绿色化的产品方案和服务。助力于充电器、适配器、照明、锂电充电等产业的发展。
2023-07-25 15:03:114

中国能否造出 CPU?

已经造出来了啊不过就是太慢啊
2023-07-25 15:04:1914

半导体opc工程师需要干什么

半导体行业的制程工程师至少有三十到五十个不同工作领域,例如:光晶圆厂和封测厂就有数百个制程,又可分前工程,后工程....所以必须有学习和兴趣,下决心入半导体工作,找到一定领域再告诉你具体做什么的;
2023-07-25 15:04:472

mask的clear和dark是什么意思麻烦告诉我

我所了解的:1. 只要是mask上的图案,肯定不透光,因为那个地方是铬。2. layout上某层为dark表示该处不透光(即暗),所以对应到wafer上是保留该处PR(对正胶而言)。3. layout上某层为clear表示该处 透光(即亮),所以对应到wafer上是去掉该处PR(对正胶而言)。4. layout上图案变成mask图案是由clear或dark参数决定。dark就是以后wafer上面要保留下来的(不是曝光的窗口),clear就是要开出来的,要曝光显影掉的。比如你版图上画的M1,金属连线当然是要保留下来的;NW当然是要开出窗口的,所以一般都是clear的1Darkand Clear :TW 和 JP 定义可能有不同,但很多情况是相同2 关键是maskshop 与foundry 对Darkand Clear 的定义必须一致3 tapeout data (GDS)已包含对刻蚀区与非刻蚀区的定义Darkand Clear : 动词或操作(并不针对mask 透光区glass,不透光区Cr 状态的描述)Clear : 请在制作mask时,保持GDS 数据中刻蚀区与非刻蚀区原样,不得改变(mask 与GDS数据的刻蚀区与非刻蚀区必须完全一致)Dark和clear就是定义你的所画的图形,将来做成光罩对应的部分是chrome,还是glass.
2023-07-25 15:05:071

投资机构IC流程是什么

流程如下:第一阶段、设计准备1. 需求分析:市场调研,同功能芯片功能比较。2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、 协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电路(电源电压、ADC、charge pump、FET)。 3. 撰写文档:总体描述功能,画出系统架构、系统状态跳转图,详细描述各个模块功能、寄存器,给出功能设计图、时序图。第二阶段、方案实施 1. 代码实现:根据以上设计准备阶段指定的设计方案 ,工程师在规定的时间内完成代码实现。 在实现过程中,要考虑:面积、速度、clock gating、CDC、latch等设计要点。 2. 功能前仿:在完成代码实现之前和过程中,验证工程师根据设计方案中每一个功能点写出验证case,并统计覆盖率,保证代码里的branch、line toggle、FSM等都有覆盖到。第三阶段、时序分析 1、综合:在完成代码实现和功能仿真后,根据设计方案,制定时序约束文件,综合工具依据时序约束文件生 2、等效检查:利用等效工具,比较RTL代码和综合生成的网表,检查两者一致。 3、静态时序分析:第四阶段:布局布线 1、网表、库文件:完成第三阶段后,向Layout house提供网表和功能实现过程中用到的库文件,其包括: a. 工艺PDK b. stdcell库数据(lef、lib、cdl、gds) c. 验证规则文件(drc、ant、lvs) d. QRC techfile、nxtgrd e. 网表和SDC 同时,还要提供block形状、面积、PIN坐标。 2、功能后仿:提供上述文件后,layout house将进行PR,生成带延时信息的网表和各种Corner的SDF文件。在拿到网表后,需要使用网表进行功能 一致性检查、静态时序分析,并检查spare gate是否被加入到网表里面。 然后利用这个网表和sdf文件,进行功能后仿(测试用例使用之前功能前仿时的case)。 如果发现时序违例,就要分析违例原因。根据违例原因类型,可以采取修改部分代码、ECO、PR修复等方式来解决违例。 如果需要修改代码或者ECO,在修改完后,需要重复第三阶段过程,并将综合的网表再次提供给layout house,layout house返回网表和SDF后,重复上述检查和仿真;如果进行PR修复,那就是直接由layout house进行修改,layout house返回网表和SDF后,重复上述检查和仿真。 3、等效检查:在拿到网表后,要做formality,将PR后的网表跟RTL进行等效比对,检查设计是否一致。 4、时序检查:使用PR后layout house 提供的 网表、spef 文件,以及sdc,重新跑一次post pt。注意要在sdc 里 加上: set_propagated_clock [all_clocks] 同时,注释掉set_ideal_network。然后分析时序报告,如果跟PR提供的时序报告结果不一致,要搞清楚差异在哪里,时序违例要清干净。第五阶段:signoff 1、review:当上述步骤完成后,还要召集相关designer一起review,确保所有设计都是正确无误的。 a. 确认所有功能都与设计方案一致。 b. 确认所有功能点都有仿真激励覆盖到。 c. 确认所有IP的GDS、PIN连接、电源供电、时序关系。 d. 确认D2A、A2D端口的名称、方向、位宽。 只有上述几项都确认无误,最后才能tapeout。
2023-07-25 15:05:141

验证工程师的跃迁从入门到专业

验证工程师的跃迁从入门到专业如下:一、初出茅庐很多自学者和转行同学早期的一个常见状态:熟悉一定数字电路基础,了解数字设计概念;能够看懂verilog,会写简单的verilog,D触发器之类的;会阅读简单的spec,理解产品手册需求和功能要求。二、崭露头角sv+uvm(前3-6个月),这是大部分从事dv工作者的建议,目前除了大型外企的某些岗位是使用c++来做pv的,可以说uvm已经成了硬通货了,这个阶段不求精通但求能用,可以不会搭建环境,但是得会构建场景。三、渐入佳境handle整个模块从release到RTL freeze到gatesim到tapeout以及post-silicon的整个过程,此时uvm对你来说已经不是难点了,虽然偶尔会因为uvm中某些奇怪的特性卡主,但大部分时间都在构思边界场景,以及如何提高覆盖率。你已经可以对一些简单的设计漏洞自行debug,并给出你的修改意见,你会对designer的笔误十分反感。你会花大量的时间研究design spec,偶尔会看一看uvm的源码,会觉得uvm真的非常强大,同时会发现许多介绍uvm的书并不能涵盖一切应用场景。此时,你最关心的是如何在deadline之前确保验证的完备性和验证的可靠性,如何使得一个测试用例随机出尽可能多的的复杂情况,如何使整个环境的自动化水平变高,如何优雅地写sequence,等等。四、登堂入室在deadline之前完成一个中等模块对你来说已经不是难事,你会时不时关心RTL在改版之后对环境和已有用例的影响,总会觉得上一次做的环境不够完美。你会用自己的方法实现覆盖率驱动验证,写环境和构建用例对你来说已经非常容易,你甚至会觉得这是一个体力活。你会发现设计中的一些不合理的地方,比如fifo居然没有反压机制,支持outstanding的数量不够,数据带宽在某些情况下达不到要求,你会花更多的时间去完善testplan,会更多的关注体系结构和上层数据流动。你已经不满足于基于uvm的simulation ,你会使用一些别的验证方法,比如使用形式验证+assertion的组合验证流控和多路访问的仲裁,与此同时你变得越来越“懒”,对自动化的要求越来越高。
2023-07-25 15:05:331

简述spice的四种level的区别

  HSPICE  先来说说HSPICE,记得我们前面讲过的批处理运行吧。在当时的大公司里,这是电路仿真标准的运行方式,但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果,然后修改电路参数再做仿真。如此多次以达到最佳结果。有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计,看到了这里面的问题。与其让几百个客户排队等一个银行柜员,为什么不让每一个客户都有一个柜员呢?问题就是商机。他们决定跳出来开自己的公司。于是78年,Meta-Software成立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧,为什么要以H开头?这可是兄弟俩姓的第一个字母啊)。他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上。就这样,借着计算机硬件改朝换代的东风,越来越多的公司开始使用HSPICE了。直到如今,这个HSPICE成了工业界的”金标准“。只要你做个仿真器,人们一定会跟HSPICE比结果的。而且,在SPICE前面加一个字母成了时尚。到今天,有人开玩笑说A-SPICE一直到Z-SPICE都已经被人用过了(当然,HSPICE仍然是最出名的)。  有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功?呵呵,成功的要素有很多,光用一条是远远不够的。比如说用户的反馈就是相当重要的一条。举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的。像这样的例子还有很多。这些虽然不是什么革命性的技术创新,但它们很实用,能大大提高用户的使用效率。甚至某些时候,对用户来说,这样的小改进比创新的算法更重要。  前面我们提到了七八十年代有很多的 MOSFET器件模型。HSPICE把能拿到的器件模型都收进去了。所以,HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意,它是一本独立的手册。也就是说,光是它里面的七八十个MOSFET模型就是一本书了)。但这样还不够。Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系。在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了。如果你是设计者,你还能不用它吗?这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样,一旦超过了临界质量(criticalmass),它自己就会越滚越大。据Meta-Software的人说,在公司巅峰的时候,他们的销售员就是一台传真机。你只要把传真机号码告诉客户,他们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)。从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE,它的年成长率达到了25-30%。  1996年Meta-Software被Avant!收购。到2001年,Avant!又被Synopsys收购。关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马。它的故事足可以写另一个长篇了。这里且按下不表。  Meta-Software兄弟俩中的老大,ShawnHailey,已于2011年去世。在此之前,他把自己的名字改成了AshawnaHailey。  PSPICE  PSPICE像HSPICE一样,PSPICE的故事也跟它的名字有关。首先,这第一个字母“P”并不是其创始人的名字。事实上,创始人的名字WolframBlume里根本没有字母“P”。那这字母“P”到底是什么意思呢?对了,它就是PC。PSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷。  时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司。工作中,他听到很多抱怨,说公司内部的SPICE速度太慢了。这位老兄也不含糊,立马对其SPICE来了一个详尽的分析。结果发现,大部分时间花在了算 MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想,如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵,又是一个看到商机的主)?恰恰那个时候英特尔推出了支持硬件并行的8085/8086/8087。说干就干。这位老哥创立了MicroSim公司。又是在这时,IBM推出了基于Intel芯片的IBM-PC。另一个机会又来了:只要把SPICE从大型机上移植到PC上就行了。这事儿比起第一个事儿简单太多了。可是,人们当时认为PC就是个游戏机而已,没人拿它来做什么正经事儿(呵呵,看看现在不还是这样吗?)。所以,这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行。  当时的IBM-PC有640KB内存。最大的数组只允许64KB内存。而SPICE是用一个巨长的数组来存储所有的数据。把SPICE的数据放到IBM-PC的结构,用这位老哥的话说,就像把一只鲸鱼塞进一个金鱼缸里。但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理,可他们也快没钱了。这位老兄忽然想到,咱不是把SPICE移植到PC上了吗?咱就先卖着这个软件,用卖它的钱继续开发咱的并行硬件。就这样,PSPICE就开始在PC上出现了。  最初这位老兄想卖硬件加速器的PSPICE版本,可结果恰恰相反,俩年后,纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套。到这时候,这位老兄也明白了。做硬件吃力不讨好,市场并不需要。他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。  同学你看,一个高新复杂的技术并不一定会做出一个卖座的产品。反过来,一个貌似简单的技术可能很受市场的欢迎。另外,PSPICE虽然不是赚钱最多的,但它的用户数绝对是最大的(遍及全世界五大洲)。你可以下载一个免费的PSPICE用。当然,只限于十个晶体管。但这对一般学生的学习来讲,大部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜,就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话,很可能也在用PSPICE。  下面是PSPICE第二版的封面。  MicroSim1998年被OrCAD收购。OrCAD在2000年又被Cadence收购。  Spectre  Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生。其中一个叫 KenKundent。Ken非常有才气。他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小。在加入了Candence后,他看到HSPICE卖的很火,就决定做个新的仿真工具去取代它。这就是Spectre。据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)。SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性。但它并没能取代HSPICE。为什么呢?一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同。Ken计算机编程的功底很深,他设计的Spectre的输入格式像C语言一样。虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范,但SPICE的用户是电路设计者,他们才不管你的语言多么优美,只要好用就行。另外,如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式,用它已经写了成百上千个电路网表,而且它们都工作的好好的,为什么要去换成另外一个呢?另外,还有一个更重要的原因,就是用户对HSPICE的信赖。这种信赖不是一时半会儿就能建立起来的。它是经过几十年,成千上万遍仿真,几百次tapeout(送出去流片)才能形成的。怎么能说换就换呢?  Ken琢磨着,既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西。做什么呢?恰好在九十年代中期,一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)。(这里再插一句,最早的数模混合电路描述语言是MAST,它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的。后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用。而Verilog主要在美国使用)。Ken就想,好吧,我们也来做个标准的设计语言到Spectre里。这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难。首先,既然你是标准,那就要大伙儿都同意。让大伙儿都同意的事是要花时间的,没那么快。其次更重要的,是你要让模拟电路设计者来学习并使用这个语言。这可是比登天还难的事儿。如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言?当然是电路了。至少到今天为止,还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材,几乎全部都是 VHDL或 Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路,那你的年龄够大了)。另外,当你做模拟设计的时候,你是在搭晶体管电路呢,还是在写描述语言?对模拟电路设计者来说,用语言而不用电路来做设计是不可想象的。反过来,对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的。  Spectre-AMS做出来后,Ken发现当时的感兴趣者寥寥无几(呵呵,这哥儿们专找硬骨头啃)。那怎么办?在公司做产品是要卖钱的。Ken有点儿绝望了。这时,他想到了回去做他在学校做的老本行:射频电路仿真。至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHogan。Jim做了个市场调查。那时射频电路设计市场几乎不存在,只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉Ken,Ken也无可奈何的耸耸肩。Jim对Ken看了好一会儿,说,管它呢,你就做去吧。谁知道这一次却是歪打正着了。九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加入了新的做射频电路芯片的设计公司。这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项。因此,Spectre也就借着Spectre-RF的东风开始流行起来了。后来,HSPICE和Smart-Spice也跟风在自己的SPICE中加进了RF的选项。这也算是Spectre对SPICE的功能扩展做的贡献吧。  Smart-Spice  Smart-Spice是Silvaco公司的产品。说到Silvaco,就不得不说它的创始人 IvanPesic。Ivan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样,通过自己的勤奋努力来到美国。来美国之后,他先开了一家修车店。直到攒够了钱,才在1984年成立了Silvaco。他有一个儿子。可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工。因此学习也不上进。怎么让这小子好好学习呢?简单。有一天,老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面,对儿子说:你就坐在这儿,看看汽车修理工一天的工作是什么样的。自从那一天结束以后,儿子的学习成绩就全变成A了。  说到IvanPesic,我们还不得不说他打官司的故事。Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司。话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天,这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是 TCAD(TechnologyCAD),并不是SPICE。这时它也准备开发自己的Smart-Spice,但它拿不到HSPICE的Level28模型。怎么办?Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost。它就找到Meta-Software说,你看,如果把你们的Level28模型公式放到我们的Utmost中,就会有更多的用户用你们的HSPICE。Meta一想也对,就把Level28模型给了Silvaco。没成想,过了二年,Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型。这下Meta-Software气坏了。就把Silvaco告上了法庭。也就在这个前后,Avant!并购了Meta-Software。但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司。也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子。不管是什么原因,当法庭开庭要宣判的那一天,Avant!居然没有人出庭。这下法官可气坏了。好啊,竟敢藐视本法庭,来啊,判Avant!输,并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了。这下到好,不光不用和解了,还得了一大笔钱。呵呵,人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司。所以同学,如果你是学理工出身的,那你不妨去学学法律。如果你是学文科出身的,那你不妨去学学理工。估摸着在不久的将来,国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的。  但是,一个公司如果光靠打官司,那也是赢得不了客户的。说实话,Smart-Spice做得还是蛮不错的,价格又便宜。Smart-Spice还是第一个“基于使用时间许可证”(use-timebased license)的工具。这对许多小公司或个人用户是个好消息。如果你没几万美元去买高大上的商业SPICE,或者你就只需跑几次仿真,那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样。卖车店能赚钱,租车店也会有很多顾客的。这不也是一个很好的商业模式吗?  IvanPesic于2012年因癌症在日本去世。如今,他本来想当汽车修理工的儿子已经继承了老爸的事业,接替掌管Silvaco了。  Aeolus-AS  我们应该感到骄傲– 这是我们中国本土的SPICE。虽然名字叫起来很拗口。光从名字上也看不出这是SPICE。它是由北京华大九天开发的。至于为什么起这样一个名字,还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具。下面的几句话是从华大的网页上摘下来的,也算给他们做个广告吧。“它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前验证大规模电路所遇到的容量、速度瓶颈。Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升,同时支持多核并行。”  还有一类是工业界但非商业(也就是不拿出来卖的)SPICE。通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队。这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了。
2023-07-25 15:06:051

哪里有好点的IC版图培训?想改行做IC版图设计,可是不知道哪里可以提供培训!

版图设计最被看重的是tapeout经验。估计一般的培训机构不会提供流片机会吧。所以只是单纯学习的话,比较有名气的大学或研究机构提供的培训应该还行吧。关键是培训完后马上找一个版图设计的工作。
2023-07-25 15:06:151

电器中OUT是什么意思?

看是在什么接口 如果是在音频接口 就代表输出音频
2023-07-25 15:06:399

投资机构IC流程是什么

流程如下:第一阶段、设计准备1. 需求分析:市场调研,同功能芯片功能比较。2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、 协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电路(电源电压、ADC、charge pump、FET)。 3. 撰写文档:总体描述功能,画出系统架构、系统状态跳转图,详细描述各个模块功能、寄存器,给出功能设计图、时序图。第二阶段、方案实施 1. 代码实现:根据以上设计准备阶段指定的设计方案 ,工程师在规定的时间内完成代码实现。 在实现过程中,要考虑:面积、速度、clock gating、CDC、latch等设计要点。 2. 功能前仿:在完成代码实现之前和过程中,验证工程师根据设计方案中每一个功能点写出验证case,并统计覆盖率,保证代码里的branch、line toggle、FSM等都有覆盖到。第三阶段、时序分析 1、综合:在完成代码实现和功能仿真后,根据设计方案,制定时序约束文件,综合工具依据时序约束文件生 2、等效检查:利用等效工具,比较RTL代码和综合生成的网表,检查两者一致。 3、静态时序分析:第四阶段:布局布线 1、网表、库文件:完成第三阶段后,向Layout house提供网表和功能实现过程中用到的库文件,其包括: a. 工艺PDK b. stdcell库数据(lef、lib、cdl、gds) c. 验证规则文件(drc、ant、lvs) d. QRC techfile、nxtgrd e. 网表和SDC 同时,还要提供block形状、面积、PIN坐标。 2、功能后仿:提供上述文件后,layout house将进行PR,生成带延时信息的网表和各种Corner的SDF文件。在拿到网表后,需要使用网表进行功能 一致性检查、静态时序分析,并检查spare gate是否被加入到网表里面。 然后利用这个网表和sdf文件,进行功能后仿(测试用例使用之前功能前仿时的case)。 如果发现时序违例,就要分析违例原因。根据违例原因类型,可以采取修改部分代码、ECO、PR修复等方式来解决违例。 如果需要修改代码或者ECO,在修改完后,需要重复第三阶段过程,并将综合的网表再次提供给layout house,layout house返回网表和SDF后,重复上述检查和仿真;如果进行PR修复,那就是直接由layout house进行修改,layout house返回网表和SDF后,重复上述检查和仿真。 3、等效检查:在拿到网表后,要做formality,将PR后的网表跟RTL进行等效比对,检查设计是否一致。 4、时序检查:使用PR后layout house 提供的 网表、spef 文件,以及sdc,重新跑一次post pt。注意要在sdc 里 加上: set_propagated_clock [all_clocks] 同时,注释掉set_ideal_network。然后分析时序报告,如果跟PR提供的时序报告结果不一致,要搞清楚差异在哪里,时序违例要清干净。第五阶段:signoff 1、review:当上述步骤完成后,还要召集相关designer一起review,确保所有设计都是正确无误的。 a. 确认所有功能都与设计方案一致。 b. 确认所有功能点都有仿真激励覆盖到。 c. 确认所有IP的GDS、PIN连接、电源供电、时序关系。 d. 确认D2A、A2D端口的名称、方向、位宽。 只有上述几项都确认无误,最后才能tapeout。
2023-07-25 15:07:251

foundry工艺工程师这个职位怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:07:321

女生学微电子是什么体验?

平常认真上课自习,课下积极写作业看书。成绩中上,然而都大三了,感觉自己还是啥都不会。
2023-07-25 15:07:579

NVIDIA什么时候出Dx11显卡?

NV 现在是想搞费米构架 但是又碰到了许多问题 加上时间紧迫 AMD那边逼得太紧5XXX系列太红 NV无奈才放出一代又一代的马甲 G300 也不会有质的提升 实际在拖延时间包括G200 等费米上线的时候准备打个漂亮的翻身仗
2023-07-25 15:08:144

谁有IC的制作流程?

推荐一本书:任艳颖,王彬 编著的《IC设计基础》,关于流程(包括ASIC和FPGA),讲的很详细
2023-07-25 15:08:372

foundry工艺工程师怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:08:451

foundry工艺工程师如何发展

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:08:531

为什么许多EDA工具只有Linux版本

据我所知, Virtuoso (我猜题主说的Cadence指的是Virtuoso或者icfb) 和MMSIM/ICC/DC (我猜题主说的Synopsys是指这些软件中的一个) 在出现的时候, 家用机(PC)还没有如此强大的计算能力, 所以在最早期的EDA工具开发中, 逐渐适用了Server, 也即Sun OS和Linux后来Sun OS挂了(基本也就相当于Linux了), 所以目前很多的EDA Tool依然是基于Linux的. 但是, 很大一部分的工具已经开始向Windows Server 改进了.(Virtuoso 61x已经支持Windows Server了, 虽然Bug多多~; MMSIM很早就随着FPGA系列软件渗入Windows了, 只是可能不直接显示Synopsys商标; )其他一些题主没有提到的优秀的软件(譬如COMSOL, HMSS, ADS等) 早已在Windows 里站稳脚跟. 只是Virtuoso 和 一部分大型的Synopsys软件依然需要依靠Server才能跑, 所以停留在了Linux模式. 个人认为, Linux下的命令行模式进行这些大操作反而方便, 至于电路图或者版图只需辅助以相应的GUI即可; 相比之下, Windows 下的PSpice让我用的痛不欲生... 虽然GUI相比好看很多, 但是模拟的精度和速度... 还是停留在200+ nm比较好... 另外就是这种主流大型软件价格是针对公司/学校这种地方的。 这些地方主流的大型服务器也都是linux(详情可以去看看为什么主流服务器是Linux)这些软件一般给学校等教育场所一些较为便宜的license server, 比如$5000 一年, 足够绝大多数layout/simulation/tapeout 使用了标准商用价格基本是 15,000/lic / year。 我不认为有人会自己闲着无聊去买。所以也不会有针对pc的开发。
2023-07-25 15:09:021

foundry的工艺工程师待遇怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:09:101

silvaco模拟的时候,不输入fermi 是不是软件还是用它

  HSPICE  先来说说HSPICE,记得我们前面讲过的批处理运行吧。在当时的大公司里,这是电路仿真标准的运行方式,但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果,然后修改电路参数再做仿真。如此多次以达到最佳结果。有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计,看到了这里面的问题。与其让几百个客户排队等一个银行柜员,为什么不让每一个客户都有一个柜员呢看问题就是商机。他们决定跳出来开自己的公司。于是78年,Meta-Software成立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧,为什么要以H开头看这可是兄弟俩姓的第一个字母啊)。他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上。就这样,借着计算机硬件改朝换代的东风,越来越多的公司开始使用HSPICE了。直到如今,这个HSPICE成了工业界的地金标准逗。只要你做个仿真器,人们一定会跟HSPICE比结果的。而且,在SPICE前面加一个字母成了时尚。到今天,有人开玩笑说A-SPICE一直到Z-SPICE都已经被人用过了(当然,HSPICE仍然是最出名的)。  有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功看呵呵,成功的要素有很多,光用一条是远远不够的。比如说用户的反馈就是相当重要的一条。举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的。像这样的例子还有很多。这些虽然不是什么革命性的技术创新,但它们很实用,能大大提高用户的使用效率。甚至某些时候,对用户来说,这样的小改进比创新的算法更重要。  前面我们提到了七八十年代有很多的 MOSFET器件模型。HSPICE把能拿到的器件模型都收进去了。所以,HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意,它是一本独立的手册。也就是说,光是它里面的七八十个MOSFET模型就是一本书了)。但这样还不够。Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系。在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了。如果你是设计者,你还能不用它吗看这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样,一旦超过了临界质量(criticalmass),它自己就会越滚越大。据Meta-Software的人说,在公司巅峰的时候,他们的销售员就是一台传真机。你只要把传真机号码告诉客户,他们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)。从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE,它的年成长率达到了25-30%。  1996年Meta-Software被Avant!收购。到2001年,Avant!又被Synopsys收购。关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马。它的故事足可以写另一个长篇了。这里且按下不表。  Meta-Software兄弟俩中的老大,ShawnHailey,已于2011年去世。在此之前,他把自己的名字改成了AshawnaHailey。  PSPICE  PSPICE像HSPICE一样,PSPICE的故事也跟它的名字有关。首先,这第一个字母逗P地并不是其创始人的名字。事实上,创始人的名字WolframBlume里根本没有字母逗P地。那这字母逗P地到底是什么意思呢看对了,它就是PC。PSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷。  时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司。工作中,他听到很多抱怨,说公司内部的SPICE速度太慢了。这位老兄也不含糊,立马对其SPICE来了一个详尽的分析。结果发现,大部分时间花在了算 MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想,如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵,又是一个看到商机的主)看恰恰那个时候英特尔推出了支持硬件并行的8085/8086/8087。说干就干。这位老哥创立了MicroSim公司。又是在这时,IBM推出了基于Intel芯片的IBM-PC。另一个机会又来了:只要把SPICE从大型机上移植到PC上就行了。这事儿比起第一个事儿简单太多了。可是,人们当时认为PC就是个游戏机而已,没人拿它来做什么正经事儿(呵呵,看看现在不还是这样吗看)。所以,这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行。  当时的IBM-PC有640KB内存。最大的数组只允许64KB内存。而SPICE是用一个巨长的数组来存储所有的数据。把SPICE的数据放到IBM-PC的结构,用这位老哥的话说,就像把一只鲸鱼塞进一个金鱼缸里。但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理,可他们也快没钱了。这位老兄忽然想到,咱不是把SPICE移植到PC上了吗看咱就先卖着这个软件,用卖它的钱继续开发咱的并行硬件。就这样,PSPICE就开始在PC上出现了。  最初这位老兄想卖硬件加速器的PSPICE版本,可结果恰恰相反,俩年后,纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套。到这时候,这位老兄也明白了。做硬件吃力不讨好,市场并不需要。他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。  同学你看,一个高新复杂的技术并不一定会做出一个卖座的产品。反过来,一个貌似简单的技术可能很受市场的欢迎。另外,PSPICE虽然不是赚钱最多的,但它的用户数绝对是最大的(遍及全世界五大洲)。你可以下载一个的PSPICE用。当然,只限于十个晶体管。但这对一般学生的学习来讲,大部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜,就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话,很可能也在用PSPICE。  下面是PSPICE第二版的封面。  MicroSim1998年被OrCAD收购。OrCAD在2000年又被Cadence收购。  Spectre  Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生。其中一个叫 KenKundent。Ken非常有才气。他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小。在加入了Candence后,他看到HSPICE卖的很火,就决定做个新的仿真工具去取代它。这就是Spectre。据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)。SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性。但它并没能取代HSPICE。为什么呢看一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同。Ken计算机编程的功底很深,他设计的Spectre的输入格式像C语言一样。虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范,但SPICE的用户是电路设计者,他们才不管你的语言多么优美,只要好用就行。另外,如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式,用它已经写了成百上千个电路网表,而且它们都工作的好好的,为什么要去换成另外一个呢看另外,还有一个更重要的原因,就是用户对HSPICE的信赖。这种信赖不是一时半会儿就能建立起来的。它是经过几十年,成千上万遍仿真,几百次tapeout(送出去流片)才能形成的。怎么能说换就换呢看  Ken琢磨着,既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西。做什么呢看恰好在九十年代中期,一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)。(这里再插一句,最早的数模混合电路描述语言是MAST,它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的。后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用。而Verilog主要在美国使用)。Ken就想,好吧,我们也来做个标准的设计语言到Spectre里。这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难。首先,既然你是标准,那就要大伙儿都同意。让大伙儿都同意的事是要花时间的,没那么快。其次更重要的,是你要让模拟电路设计者来学习并使用这个语言。这可是比登天还难的事儿。如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言看当然是电路了。至少到今天为止,还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材,几乎全部都是 VHDL或 Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路,那你的年龄够大了)。另外,当你做模拟设计的时候,你是在搭晶体管电路呢,还是在写描述语言看对模拟电路设计者来说,用语言而不用电路来做设计是不可想象的。反过来,对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的。  Spectre-AMS做出来后,Ken发现当时的感兴趣者寥寥无几(呵呵,这哥儿们专找硬骨头啃)。那怎么办看在公司做产品是要卖钱的。Ken有点儿绝望了。这时,他想到了回去做他在学校做的老本行:射频电路仿真。至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHogan。Jim做了个市场调查。那时射频电路设计市场几乎不存在,只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉Ken,Ken也无可奈何的耸耸肩。Jim对Ken看了好一会儿,说,管它呢,你就做去吧。谁知道这一次却是歪打正着了。九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加入了新的做射频电路芯片的设计公司。这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项。因此,Spectre也就借着Spectre-RF的东风开始流行起来了。后来,HSPICE和Smart-Spice也跟风在自己的SPICE中加进了RF的选项。这也算是Spectre对SPICE的功能扩展做的贡献吧。  Smart-Spice  Smart-Spice是Silvaco公司的产品。说到Silvaco,就不得不说它的创始人 IvanPesic。Ivan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样,通过自己的勤奋努力来到美国。来美国之后,他先开了一家修车店。直到攒够了钱,才在1984年成立了Silvaco。他有一个儿子。可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工。因此学习也不上进。怎么让这小子好好学习呢看简单。有一天,老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面,对儿子说:你就坐在这儿,看看汽车修理工一天的工作是什么样的。自从那一天结束以后,儿子的学习成绩就全变成A了。  说到IvanPesic,我们还不得不说他打官司的故事。Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司。话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天,这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是 TCAD(TechnologyCAD),并不是SPICE。这时它也准备开发自己的Smart-Spice,但它拿不到HSPICE的Level28模型。怎么办看Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost。它就找到Meta-Software说,你看,如果把你们的Level28模型公式放到我们的Utmost中,就会有更多的用户用你们的HSPICE。Meta一想也对,就把Level28模型给了Silvaco。没成想,过了二年,Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型。这下Meta-Software气坏了。就把Silvaco告上了法庭。也就在这个前后,Avant!并购了Meta-Software。但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司。也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子。不管是什么原因,当法庭开庭要宣判的那一天,Avant!居然没有人出庭。这下法官可气坏了。好啊,竟敢藐视本法庭,来啊,判Avant!输,并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了。这下到好,不光不用和解了,还得了一大笔钱。呵呵,人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司。所以同学,如果你是学理工出身的,那你不妨去学学法律。如果你是学文科出身的,那你不妨去学学理工。估摸着在不久的将来,国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的。  但是,一个公司如果光靠打官司,那也是赢得不了客户的。说实话,Smart-Spice做得还是蛮不错的,价格又便宜。Smart-Spice还是第一个逗基于使用时间许可证地(use-timebased license)的工具。这对许多小公司或个人用户是个好消息。如果你没几万美元去买高大上的商业SPICE,或者你就只需跑几次仿真,那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样。卖车店能赚钱,租车店也会有很多顾客的。这不也是一个很好的商业模式吗看  IvanPesic于2012年因癌症在日本去世。如今,他本来想当汽车修理工的儿子已经继承了老爸的事业,接替掌管Silvaco了。  Aeolus-AS  我们应该感到骄傲– 这是我们中国本土的SPICE。虽然名字叫起来很拗口。光从名字上也看不出这是SPICE。它是由北京华大九天开发的。至于为什么起这样一个名字,还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具。下面的几句话是从华大的网页上摘下来的,也算给他们做个广告吧。逗它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前验证大规模电路所遇到的容量、速度瓶颈。Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升,同时支持多核并行。地  还有一类是工业界但非商业(也就是不拿出来卖的)SPICE。通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队。这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了。
2023-07-25 15:09:171

Rectangle2D.Double做什么用的

ava.awt.geom类 Rectangle2D.Doublejava.lang.Object 继承者 java.awt.geom.RectangularShape 继承者 java.awt.geom.Rectangle2D 继承者 java.awt.geom.Rectangle2D.Double所有已实现的接口: Shape, Cloneable正在封闭类: Rectangle2Dpublic static class Rectangle2D.Doubleextends Rectangle2DDouble 类定义以 double 坐标指定的矩形。从以下版本开始: 1.2嵌套类摘要从类 java.awt.geom.Rectangle2D 继承的嵌套类/接口Rectangle2D.Double, Rectangle2D.Float字段摘要 double height 此 Rectangle2D 的高度。 double width 此 Rectangle2D 的宽度。 double x 此 Rectangle2D 的 X 坐标。 double y 此 Rectangle2D 的 Y 坐标。从类 java.awt.geom.Rectangle2D 继承的字段OUT_BOTTOM, OUT_LEFT, OUT_RIGHT, OUT_TOP构造方法摘要Rectangle2D.Double() 构造一个新 Rectangle2D,并将其初始化为:位置 (0, 0)、大小 (0, 0)。Rectangle2D.Double(double x, double y, double w, double h) 根据指定坐标构造和初始化 Rectangle2D。方法摘要 Rectangle2D createIntersection(Rectangle2D r) 返回一个新的 Rectangle2D 对象,它表示此 Rectangle2D 与指定 Rectangle2D 的交集。 Rectangle2D createUnion(Rectangle2D r) 返回一个新的 Rectangle2D 对象,它表示此 Rectangle2D 与指定 Rectangle2D 的并集。 Rectangle2D getBounds2D() 返回此 Rectangle2D 的高精度边界框。 double getHeight() 以 double 精度返回此 Rectangle2D 的高度。 double getWidth() 以 double 精度返回此 Rectangle2D 的宽度。 double getX() 以 double 精度返回此 Rectangle2D 的 X 坐标。 double getY() 以 double 精度返回此 Rectangle2D 的 Y 坐标。 boolean isEmpty() 确定此 Rectangle2D 是否为空。 int outcode(double x, double y) 确定指定 double 坐标相对于此 Rectangle2D 的位置。 void setRect(double x, double y, double w, double h) 将此 Rectangle2D 的位置和大小设置为指定的 double 值。 void setRect(Rectangle2D r) 将此 Rectangle2D 设置为与指定的 Rectangle2D 相同。 String toString() 返回此 Rectangle2D 的 String 表示形式。直接查api呀
2023-07-25 15:03:311

email的意思

email的中文意思:电子邮件,n.电子邮件(系统);电子邮件;vt.给…发电子邮件;用电子邮件发送;comp.电子邮件的。email就是电子邮件,是用电子手段提供信息互相交换的一种通信方式,是互联网当中应用范围最广的一种服务 。电子邮件可以是文字、图像、声音等多种形式 。同时,用户可以得到大量免费的新闻、专题邮件,并轻松实现轻松的信息搜索 。电子邮件的存在极大地方便了人与人之间的沟通与交流,促进了社会的发展 。同义词:system; message电子邮件例句:an Internet email service因特网电子邮件服务It"s good for email and netsurfing.这有利于使用电子邮件和上网浏览。Keep an electronic record of any email you send.发送每封电子邮件要存一份电子记录。 
2023-07-25 15:03:351

fold是什么意思及反义词

fold 英[fu0259u028ald] 美[fou028ald] vt. 折叠; 合拢; 抱住; 笼罩; vi. 折叠起来; 彻底失败; n. 折痕; 信徒; 羊栏; (起伏地的) 凹处,洼; [例句]He folded the paper carefully他把纸小心地折起来。[其他] 第三人称单数:folds 现在分词:folding 过去式:folded 过去分词:folded
2023-07-25 15:03:361

doubleshot加冰水什么意思?

星巴克的星冰乐加冰水,你可以想象成1个shot就是一小杯浓缩咖啡,拿铁的tall杯是一个shot ,grande venti都是2个shot 意式浓缩espresso 就是1个shot 别的什么都没有 double espresso 就是两个shotSHOT,英文单词。shot在口语里也可指威士忌、杜松子酒等的“少量”。
2023-07-25 15:03:361

在java中,如何复制一个对象?比如说string对象

clone()方法
2023-07-25 15:03:393

fold的短语搭配

  1、fold的常用短语搭配。(1)fold an umbrella收伞;(2)fold away折叠,折小;(3)fold back向后折,倒折;(4)fold in把…加进搅拌,把…折叠进;(5)fold up倒闭;(6)return to the fold重返故里,浪子回头,恢复原来的信仰;(7)accordion fold折子式折页,棱角褶皱;(8)accumulation zone of thrust fold逆掩断裂褶皱聚集带;(9)acute fold尖角褶皱。   2、fold的词意用法。(1)fold的基本意思是“折叠”,可指对折,也可指交叠,引申可表示“包围,包起,笼罩”。(2)fold用作及物动词时,接名词或代词作宾语,可用于被动结构; 也可接副词away, up或介词in, around, into 等短语作宾语补足语;fold也可用作不及物动词,这时主动形式常含有被动意义。(3)fold偶尔可用作系动词接形容词作表语。(4)fold的过去分词folded常用作形容词,在句中作定语。(5)fold还可用作名词表示“羊栏,畜栏”“褶”。
2023-07-25 15:03:431

give me five与five me a five 的区别?

give me five 是人们击掌相庆时说的话,因为手伸出去就是个“五”。我没听说过give me a five
2023-07-25 15:03:434

岗位名称英文翻译

楼上的lonner 你去英国这么快回来了 NND 给我带的东西呢????
2023-07-25 15:03:474

Java集合中List和 Map区别?

set集合中的数据没有顺序,且如果add两个一样的对象或基本类型的数据,set集合里也是只有一个,即set集合中的数据都是独一无二的;不能使用加强的for循环;list中的数据是有顺序的,可以加入多个一样的对象和基本类型的数据,可使用加强的for循环;map集合是键值对的形式进行存储,一个key,一个value。
2023-07-25 15:03:492

give me five这个俚语怎么来的呢?

Give me five He always gives me a high-five when I win the game. 我比赛获胜时,他一向为我雀跃。 The father said to his little son, "Give me five!" 父亲对小儿子说:“来个击掌游戏吧!” five在这里是一个figurative meaning,就是指手。在看NBA的时候,进了球,潇洒的科比常常会和队友“Give me five!”。美国人把这种方式看作是一种rewarding,同时也是增进感情的一种方式。除了篮球,在团队游戏中,我们也可以用这个短语;好友之间的默契当然也不例外啦!
2023-07-25 15:03:511

vent是什么电子烟

Vent电子烟是台湾的一个品牌,是一款拥有通风口的电子烟。该电子烟在入口部分以舒适的咬合感贴合嘴唇的轮廓,并在顶部设置多个通风口。
2023-07-25 15:03:282

荔枝微课创业团队有哪些值得借鉴的地方

荔枝微课创业团队值得借鉴的地方如下:荔枝微课最初的19人创业团队中有一半左右毕业于华南理工大学,项目合伙人、荔枝微课项目CEO黄冠和CMO陈劢皆毕业于华南理工大学计算机科学与工程学院。早在学生时代,他们就已经开始创业,积累了丰富的创业经验。陈劢的创业起步比较早,早在大一的时候,陈劢就开了自己的第一家淘宝店,从一开始一周只有五六个订单到一周几百份的订单,从销售别人的产品到定制生产再到拥有自己的工厂,陈劢的生意逐渐做大,也吸引了众多师弟师妹加入这个创业团队。如何打造一个平台,使其能最便捷地实现在线教育培训,且能实现分享、评论、打赏等功能的想法在陈劢脑海中一直盘旋,而黄冠是陈劢首先想到的合伙人。同是毕业于华南理工大学的黄冠,也是创业的活跃分子。在大学期间,黄冠就创办了电影FM网站,后又联合创办了一家互联网公司,公司规模最多达到200人;毕业之后,黄冠携创业团队一同创办了广州森季软件有限公司。由于同在移动互联网领域,再加上同是华工人的身份,两人之前就已经有过多次合作,彼此之间配合也比较默契,关于创办在线教育学习平台的想法很快立项,两人决定带领各自的团队一起来做好荔枝微课。营销策略:营销策略包括,了解服务市场或产品市场在哪里?同业一般使用的销售方式为何,自己的竞争优势在哪里?营销手法相当多,包括DM、电话拜访、现场拜访、商展、造势活动、网络营销等等,创业者应搜集这些营销手法的相关资料。
2023-07-25 15:03:251

求AKB48的Give me five 的歌词

AKB48 - Give Me Five 歌词Give me five!桜(さくら)の歌(うた)が街(まち)に流(なが)れ樱花之歌流淌在街角あっという间だった别(わか)れの日(ひ)转眼就到了分别的日子校舎(こうしゃ)の壁(かれ)のその片隅(かたすみ)在校舍墙壁上的那个角落みんなでこっそり寄セ书きした大家纷纷写下了寄语制服(せいふく)はもう脱ぐんだ既然已经脱下制服春(はる)の风(かぜ)に吹(ふ)かれながら杨柳枝风拂面而过どんな花もやがては散って五彩花朵随风飘扬新(あたら)しい梦(ゆめ)を见(み)る追寻着新的梦想友(とも)よ 思(おも)い出(で)より 辉(かがや)いてる明日(あす)を信(しん)じろう朋友啊,我们要相信明天会比回忆更加辉煌そう 卒业(そつぎょう)とは 出口(でぐち)じゃなく对,那么毕业不是终点入(い)り口(ぐち)だろう而是另一个起点友(とも)よ それぞれの道(みち) 进(すす)むだけだ朋友啊,踏上各自征途向着目标前进「さよなら」を言(い)うな不要说再见また すぐに会(あ)える だから今(いま)は我们不久还会再见面ハイタツチしよう所以现在就击掌约定吧这是译音:[Tak/Mae/Osh] sakura no uta ga machi ni nagare[Tak/Mae/Osh] atto iu ma datta wakare no hi[Koj/Kas/Wat] kousha no kabe no sono katasumi[Koj/Kas/Wat] minna de kossori yosegakishita[Shi/Ita/Mat] seifuku wa[Shi/Ita/Mat] mou nugunda[Tak/Mae/Osh] haru no kaze ni fukarenagara[Shi/Ita/Mat] donna hana mo[Shi/Ita/Mat] yagate wa chitte[Koj/Kas/Wat] atarashii yume wo mirutomo yoomoide yorikagayaiteruasu wo shinjiyousou sotsugyou to wadeguchi ja nakuiriguchi daroutomo yosorezore no michisusumu dake gasayonara wo iu namata sugu ni aerudakara ima waHAITACCHI shiyou[Sas/Miy/Mat/Yam] nanmai shashin wo tottemite mo[Sas/Miy/Mat/Yam] daiji datta mono wa nokosenai[Tak/Min/Yok/Kas/Kit] KENKAshite kuchi kiitenakatta[Tak/Min/Yok/Kas/Kit] aitsu to naze ka kata wo kundeta[Sas/Yok/Yam] mada dare mo[Sas/Yok/Yam] kaeranakute[Tak/Mae/Osh] kyoushitsu ga semaku mieru yo[Tak/Kit/Mat] nagorioshii[Tak/Kit/Mat] jikan no saki ni[Min/Miy/Kas] bokura no mirai ga arunamidakoraeru yoriumarete karaichiban naitemiyousou tsurai koto wamadamada arunarete okou zenamidakushakusha no kaomiseattaranandemo hanaseru neisshou no shinyuu dawasureru na yoHAITACCHI shiyoutomo yoomoide yorikagayaiteruasu wo shinjiyousou sotsugyou to wadeguchi ja nakuiriguchi daroutomo yomeguriaetesaikou dattaseishun no hibi nimada ienakattaarigatou woHAITACCHI de...
2023-07-25 15:03:231

下载好阿猫阿狗2之后如何通过防拷贝系统?

最简单的办法就是拔掉光驱,我就是这么玩儿的。 所以我可以向你保证100%能进入游戏。 关于不把光驱的方法,说明上说得很清楚了。我再把我自己总结的说给你看看吧,不过不能100%保证成功。1、用Daemon载入游戏镜像开始安装游戏。 2、安装SFAFSB,打开这个软件,点击Install SFcure driver,这个会要求重新启动。启动后打开这个软件,选择Start SFAFSB。 3、打开SFNightmare,选择disable cd和disable node,最好连disable ch2也选上,这个要注意看看你的硬盘是挂在哪个IDE通道上的。不要选错了,不然进不去系统。一般来说都是通道1,所以选择disable ch2。还有,这一步选择这些东西的时候,一定要等这个软件的标题栏里的字样变回SFNightmare,才可以继续点下一个。 4、你买的光盘里可能会有注册表文件,记得导入。注意阅读安装说明,一般都有的。不要弄错了。 5、用Daemon载入游戏镜像。 还有一点,安装的路径里面不能有中文。 这个游戏我已经通关了,不过用的是拔掉光驱的办法。 OK! 祝你玩儿得愉快! -------------鲤鱼精
2023-07-25 15:03:234

email是什么意思?

email是电子邮件的英文拼写,应该填写电子邮箱的地址。电子邮件是—种用电子手段提供信息交换的通信方式,是互联网应用最广的服务。通过网络的电子邮件系统。用户可以以非常低廉的价格(不管发送到哪里,都只需负担网费)、非常快速的方式(几秒钟之内可以发送到世界上任何指定的目的地),与世界上任何一个角落的网络用户联系。扩展资料:电子邮件地址作用:电子邮件地址,是个人在互联网上冲浪的通行证。网络上流行的博客、论坛、小组、小站、微博、图册等,无不是以电子邮箱为注册依据的。记着我们自己的电子邮件地址,可以在网络上通行。获得电子邮件地址后,就可以给对方发送电子邮件。同样,我们可以为相对应的电子邮件地址设置白名单或者黑名单。进入白名单的电子邮件地址,对方发出的所有电子邮件,可以直接投递到自己的收件箱中;设置为黑名单的电子邮件地址,所有发送的邮件都不会进入自己的收件箱中。
2023-07-25 15:03:221

java中的浅克隆和深克隆是什么

浅克隆就是只能在本机识别的,深克隆就是其他平台也能识别的,这个需要用到序列化
2023-07-25 15:03:214

初中职业类英语单词大全

worker
2023-07-25 15:03:132

java怎么样构造函数复制一个对象

java复制对象:一、使用clone。对象可克隆的类必须实现Cloneable接口,并且clone方法是浅克隆。二、类实现Serializable,用ObjectOutputStream、ObjectInputStream 来复制对象。三、可以直接new一个对象,赋值!
2023-07-25 15:03:102

三星fold3韩版跟国行区别在哪里

三星fold3韩版跟国行区别如下:1、韩版是支持5G的,国行版是不支持的。2、三星fold韩版没有三星pay,而国行版是有三星pay的。3、除此之外,三星fold韩版没有指纹支付,而三星fold国行版则支持指纹支付功能。三星fold3性能参数简介。Samsung Galaxy Z Fold3 5G内屏是一块为7.6英寸AMOLED屏,采用屏下摄像头;外屏是一块6.2英寸AMOLE屏;配色有幻影黑、幻影绿、幻影银三种颜色;重量为271克。Samsung Galaxy Z Fold3 5G搭载高通骁龙888处理器,采用了后置三摄的解决方案,1200万像素主摄+1200万像素超广角镜头+1200万像素变焦镜头;拥有4400毫安时电池和25W快充。Samsung Galaxy Z Fold3 5G主屏顶部是UDC屏下摄像头,采用400万像素,单位像素尺寸2.0微米,光圈F1.8;外屏的自拍摄像头为1000万像素,光圈F2.2,单位像素尺寸1.22微米;后置三摄,均为1200万像素,包括一个超广角,F2.2、1.12微米,一个广角。
2023-07-25 15:03:051

荔枝微课如何录制课程

荔枝微课如何录制课程介绍如下:1、通过自己的荔枝微课账号,登录荔枝微课的电脑端后台,登录后点击“管理”,进入到界面后,点击“新建录播课”;注意:多节录播课程可以组合成专栏(系列课程)或者训练营(打卡解锁);2、点击后,选择音频或视频课件上传,注意上传的格式必须为mp4、mov、mp3、m4a;3、上传成功后,点击创建专栏,继续添加其他的音频和视频文件;4、设置好专栏的标题,可以选择一个有吸引力的标题,这样有利于课程获得更多人的购买,设置好课程的售卖价格。5、专栏没有设置好,可以在上传文件后再在“编辑专栏”中进行再编辑,上传专栏课程的封面,这个需要讲师提前设计好,封面的尺寸为840*480;6、完善好编辑即可点击“保存”按钮,这样就可算录制好课程了。荔枝微课,一个华南理工大学毕业生的创业项目,2016年6月产品正式上线,9个月内就获得近亿元融资。目前,这一在线教育学习平台已拥有超过 1000 万的用户,注册讲师 100 万人,孵化出月入 10 万的老师 100 多位。
2023-07-25 15:03:041