barriers / 阅读 / 详情

tapeout是什么意思啊?

2023-07-27 03:13:09
共2条回复
LuckySXyd

芯片

解释一下Tapeout2007-06-09 21:00简单说来,一颗芯片的诞生可以分成设计和制造。当设计结束的时候,设计方会把设计数据送给制造方。这个转交设计数据的动作就叫 Tapeout 。这是一个复合词,复合了 tape 和 out 。这里的 tape 就是磁带。因为在集成电路设计的早期,都是用磁带存储设计数据,送给制造方的。out 就是出去的意思。台湾用“出带”来翻译 tapeout ,可谓得其神也。不过最常用的还是 tapeout 。

tapeout 是集成电路设计中一个重要的阶段性成果,是值得庆祝的。庆祝之后,就是等待,等待制造完的芯片回来做检测,看是不是符合设计要求,是否有什么严重的问题等等。就算没有问题,到正式上市还需要经过一系列严格的质量检测。这个过程视芯片本身规模和应用要求不同,从数周到数月不等。

PS: 英文 wikipedia 对 tapeout 的解释。

* In electronics, tape-out is the name of the final stage of the design of an integrated circuit such as a microprocessor; the point at which the description of a circuit is sent for manufacture.

余辉

tapeout 是集成电路设计中一个重要的阶段性成果

相关推荐

“流片”的英文怎么说

Tapeout , 历史原因,据说最早用磁带把设计文件送出, 于是流片有了tapeout 的说法:)
2023-07-25 15:00:512

电路板中的out是什么意思

out就是输出的意思。1 、电路板都是具有一定功能的系统。2 、最常见的系统形式就是信号输入系统,经过变换得到想要的信号,输出给其他设备使用。3 、输入是in,输出是out。常见的电路系统比如DAC就是输入数字信号,转换成模拟信号,这时候out就是用来输出转换好的模拟信号,用来接耳机等需要模拟信号的设备。扩展资料历史由来当今的IC设计过程当中,需使用到各种EDA工具,经过漫长且复杂的仿真跟验证,才能够将设计好的电路交由晶圆代工厂生产,称之为下线;因此在业界当中,这个词或多或少也包含了一些设计大功告成的祝贺意味在。在比较早期,电子电路的布局(Layout)与光罩(Mask)等要交给后段生产的最终资料,都是存放在磁带(Tape)当中;Tapeout也因而得名。虽然现在已经没有再使用磁带这种储存媒介,而改用光碟片、或直接经由网络上传资料,但业界仍然习惯沿用Tapeout这样的说法。电路板上N-N L-N L-OUT BAT+ BAT-代表意思:1、L = 相线 (火线)2、N = 中性线 (零线)3、L-OUT火线输出4、BAT即Battery,有时候也简写成BT,指的是电池。BAT+和BAT-指电池正极和电池负极。参考资料:百度百科-tapeout_
2023-07-25 15:00:591

tdpie好还是tapeout好

TDPIE职位还是不错的,研发一般做新项目,又是TD的PIE,没有fab pie直接带量产品那么忙那么苦逼,而且一般还有项目奖的。以后往平行fab跳槽,或者跳槽去上游设计公司都有优势。如果一直待在FAB那越老越吃香的。2.我理解中的研究所一般都比较清闲,很多去了研究所太闲又离开的,可能学东西太少太慢,又不内卷。我觉得年轻人一开始工作还是要多吃苦,多学习积累为主。等有了家庭,慢慢跳槽到稳定一些的岗位。但这个研究所是设计岗,可能对未来直接跳槽到芯片设计类公司,更有优势一些。
2023-07-25 15:01:171

调音台上有TAPEOUT还有TAPEIN手机应该接哪个孔

信号输入端口,可连接传统卡座也可连接MP3等便携设备,通过调音台调音和编辑后通过输出端放大收听或混录
2023-07-25 15:01:261

hifi功放preout输出到低音炮

hifi功放preout输出到低音炮可以通过低音炮接口。功放preout接口有三种用法,PREOUT也称低音炮接口,功放接低音炮有两三种低音炮可以接,一种是带有音响线输入的有源超低音,然后是无源超低音(又分为两种,一种只有音箱线输入,等于是并联了一只低音炮。还有一张是有低切输出的。可以接原来的主音箱,相当于无源的2.1系统),最后,如果你的合并功放有录音输出(RECOUT或者TAPEOUT),可以接到有遥控音量的低音炮上。
2023-07-25 15:01:341

英特尔customer engineer是干什么的

英特尔customer engineer是客户工程师。客户工程师要根据公司的销售目标和任务,从技术层面监控和协调公司和客户的沟通,配合销售把各项目从新品流片直至带向量产。客户工程师作为客户的技术接口:为客户提供技术和业务信息,帮助客户从公司内部寻求解决问题的答案和方案,促成客户项目的导入。 作为销售的技术支持:保持与销售团队的紧密合作,达成既定销售目标。客户工程师的职责客户工程师要负责对客户的产品进行妥善版次管控及跟进与汇报客户的项目进度(包括Tapeout);协助公司相关部门(包括测试、技术开发、芯片厂)要求客户提供技术方面的信息。协助公司各部门从客户端获取与确认技术方面的相关信息;通过正式渠道申请或提供相关的信息或资料给客户;与客户建立并保持良好关系,协助客户回答技术与工程方面的提问。拜访客户,参与讨论技术支持等相关议题。customer engineer的使命就是处理客户反馈并推动问题按期结案, 管理和协调工程团队使工程项目顺利导入,并保持稳定生产。
2023-07-25 15:01:561

雅马哈p9500s功放可以连接低音炮吗

雅马哈p9500s功放可以连接低音炮。这是YAMAHA早期的一款AV功放机。机器的型号和后部面板功能接口看不清楚。只要有以下的输出接口就可以接有源低音炮:1、线路输出(LINEOUT)。2、录音输出(TAPEOUT或RECORDOUT)。3、中置输出(CENTREOUT)。4、重低音输出(SUB--WOOFEROUT)。如果功放机上没有上述接口,也可以断开有源低音炮内部的功放电路,直接把功放的扬声器输出与有源低音炮的扬声器(喇叭)连接起来。
2023-07-25 15:02:111

据说A11处理器有55亿个晶体管,工程师是如何设计这么多晶体管的?

现在手机SoC芯片的晶体管数量动辄百亿个,“愚公移山”拼体力一秒画一个,根本不可能。现在的高端芯片设计,已经和体力活说拜拜,设计流程分工极细,设计过程自动化程度极高,这样才能避免芯片上市,“黄花菜”都凉了的尴尬。 下面以数字芯片为例,为大家简单捋一捋芯片设计的过程。 两大流程, SoC芯片设计流程可以分为前端和后端,前端负责逻辑设计、输出门级网表(netlist),后端进行物理设计,输出版图(layout),然后将版图传给芯片厂制造(tapeout)。 顺带说一句为什么传版图给芯片厂叫tapeout。在早期,芯片设计公司都是用磁带(tape)存储芯片版图文件,需要制造时将磁带送到芯片厂,所以叫“tapeout”。这个词一直沿用到现在,即使现在传送版图文件的方式多样化了。 说白了,这是芯片文化的反映,和计算机的“bug”叫法一样,最早就是电子管大型机时代,工程师清扫追寻电子管亮光而被烤死的飞虫,排除飞虫导致的电路故障。后来,“bug"不再指真实世界中的虫子,而是指软件漏洞。 说回芯片设计流程。 芯片设计两大流程 前面说的芯片前端设计,又可细分为行为级、RTL级、门级,行为级描述电路功能,RTL级描述电路结构,门级描述门这一级电路的结构。 芯片后端设计是将前端设计产生的门级网表通过EDA工具进行布局布线,以及物理验证,最终产生供芯片厂制造使用的版图文件。 芯片设计版图详细描述了电路结构,即哪些地方该保留,哪些地方该腐蚀,哪些地方是连线。芯片制造厂将版图制作成光学掩膜,即可用光刻机制造芯片。 上述过程理解比较费力,可以用熟悉的杂志出版打个简单的比方: 前端设计相当于编辑根据选题计划,挑选投稿,编辑处理,并确定哪些稿件排在重要位置(封面文章),哪些稿件仅是填补版面的酱油角色。后端设计的任务,则是将选好的稿件,排成版面,做成版面图文件,交给印刷厂付印。 简单说,芯片前端设计相当于编辑选稿、处理稿件,后端设计相当于版面编辑排版。 芯片设计之所以要分前端和后端,主要是因为芯片特别是高端SoC芯片结构太复杂了。实际上,专业分工是否精细是衡量一个行业复杂度的两大重要指标之一,另一个指标就是自动化程度是否高。 芯片设计就是一个高度自动化的行业,从前端到后端,都离不开EDA软件(Electronic Design Automation,即电子设计自动化)。芯片设计公司在DEA软件平台上完成芯片的前后端设计,不需要手工画电路图。 EDA主要由美国的Cadence和Synopsys公司提供,两家公司都能提供前端和后端设计软件。目前国内的芯片设计公司包括华为海思、中兴、展讯等企业,都离不开Cadence和Synopsys公司的EDA软件平台。为什么非得用Cadence和Synopsys的?因为这两家公司在行业发展几十年,EDA软件功能完备、生态完整,好用。 那么,如何用EDA软件设计芯片呢? 芯片设计七大步,有两步看不到电路 第一步,用Verilog编写电路,这个过程是看不到电路图的,就是一堆描述性语言,以代码形式呈现。 第二步,跑数字仿真,用到的工具有VCS或MMSIM等工具。仿真的目的是看写出来的设计能不能正常工作,这个过程也看不到电路,还是一堆源代码。 第三步,跑完仿真后,将源代码转换成标准单元电路(Standard Cell)。 第四步,用IC-Compiler等工具进行布线,就是把标准单元电路找到对应的位置,用软件进行自动连线,这个过程需要和芯片的制造工艺进行辅助配合。 第五步,再将标准单元电路填入图形,按设计需求连线,形成版图图形。 第六步,完成版图后,还不能马上交付芯片厂生产,谁知道那些单元的连线没连好,造成噪音干扰,导致功耗升高、性能降低。为了消灭潜在bug,需要分别进行设计规则验证、和布局与原理图验证。 第七步,两大验证通过后,就可以把版图制成GDSII电子文件,交给芯片厂流片(小批量试制)。 第八步,流片后对芯片检测,如果芯片功能正常,符合设计要求,OK,让芯片厂大规模生产。 可以看出,芯片整个设计过程共有7个大步骤,全程都通过EDA软件在电脑上完成,不存在工程师手工一个一个画电路图的情形,甚至在前端设计的部分阶段,设计者根本不用考虑晶体管长什么样、有多大,在后端,设计者也不会去数该芯片含有多少晶体管,而由软件自动统计。 正是有了EDA软件的帮助,即使芯片内部有多达百亿级的晶体管,设计起来也轻轻松松,这就是高 科技 的力量和魅力。 工程师不需要一个一个晶体管的去设计芯片。很多现成的电路,比如数模转换电路、微积分电路、傅里叶变换电路等等都是前人设计好了的,后人只需要前一代芯片基础上进行架构的优化和精度提升就行了。 1+1+1。。。55亿个1相加,就算一秒钟算一次要好久啊。到了二三年级就不一样了 首先芯片设计,不是一只只的晶体管设计,而是将成熟或以实验过的单元电路,选择所需要的功能电路,将它们用数据线串联或并联在一起,一块完整芯片就设计完成了。在通过配套的系统控制系统,一个完整的芯片组合系统才算完成,至于芯片所需要的生产技术工艺级别,那就是芯片制造的事了,与芯片设计无关系了。 起初工程师是准备晶体管,把它们集中收好,然后趁处理器不注意的时候一起塞进去。 举个例子吧; 你要盖一栋大楼,需要需要先设计图纸,你这个大楼有10000个房间,但其中有5000个是一样的,还有3000个一样的,还有2个1000个一样的,那么你直接用5个设计方案就够了,把这4个不同的房间设计好,再用一种排列连接方式合在一起就行了, 这样你就不需要去设计10000个,只需要设计4个房间和一个组合方式就好了。 这样用多少材料就一目了然了。 芯片设计也一样,尽管有的芯片里面的元器件有几十亿上百亿个,你只需要设计出怎么排列就行了,里面的型号也就几千个,几万个,只是排列方式不同,把那些一样功能的作为一个整体组合进去就行了。 这些组合方式也不是人工去做的,只需要人的思路就行了,就好比你想把100吨混凝土放在哪一个大楼的位置,不用你几百人上千人亲自去手挖肩扛,直接一辆卡车拉过来就行了。卡车就是那个设计芯片的工具,你来开车,你就是芯片设计人。当然还有塔吊,也是工具。 所以设计芯片就是这么一车一车的累积起来的,而不是一铁锨一铁锨的铲起来的,太慢了。 所以芯片设计需要好几个软件,也就是卡车、塔吊。卷扬机,打桩机等等。 工具很重要,没有工具,你就无法把大楼搞得特别大,比如100万个房间,1千万个房间等等,一个房间就是一个晶体管,多了你就要设计通道,组合等等,用人画是绝对不可能的,只能用软件,画1万个的时间,软件只需要几秒就完成了,人工要多少天呢,上百亿个,要多少年呢,用软件只需要几个月就够了。 尽管这个例子举的不太恰当,能理解个大概就好了,反正我们只是使用者,不关心怎么来的,只关心怎么好用就是了。 ctrl+c, ctrl+v。 模块化设计方法。 设计房子,盖房子,生产砖头水泥钢筋。建筑设计师并不是一粒沙子一勺水泥一根钢筋自己造房子。 比如有个2000万晶体管弄好的部件,这次要用到,就直接拿过来用了。那个部件也是由很多更小的部件组成。 最初的小规模集成电路也就几十个晶体管。 设计大规模超大规模芯片有辅助设计软件,逐次迭代滚动发展,晶体管数越来越多。 看着评论区那么多自以为牛逼的人评论说芯片很好造,和盖楼一样,我就放心了,国家果然还是房地产要发展, 科技 先放一放吧,没什么希望了。还以为会有些看的清的,还是跟随洪流吧。 激光打印机了解下,你个幼儿园的小朋友一笔一划要写多少年啊,光刻机比打印机快万倍
2023-07-25 15:02:191

在半导体集成电路的公司做半导体工艺工程师很累吗?

在foundry干活确实比较累,为了加大出货量生产线基本是不停的。
2023-07-25 15:02:304

请问怎么样通过调音台来录制话筒的声音?

调音台不具有录音功能,如要录音,用电脑或多轨录音机接在调音台的2TKout或tapeout进行录音。
2023-07-25 15:03:002

什么是IC设计服务

茂捷半导体是一家专业从事纯模拟电路和数模混合集成电路设计的IC设计公司。公司资深研发团队将业界先进的设计技术与亚太地区的本土优势产业链相结合,服务全球市场,为客户提供高效率、低功耗、低风险、低成本、绿色化的产品方案和服务。助力于充电器、适配器、照明、锂电充电等产业的发展。
2023-07-25 15:03:114

芯片制造流片一张多少钱?

在现实生活当中就是如果你想买一部手机,那价位选择是非常多的。便宜的有只要一两百的不是智能机的手机,贵一点的就好像苹果得五六千块钱。它们的价钱之所以差那么大,与它们的功能性生产成本等方面是有密切关系的。芯片制造流片也是如此,价格不是唯一的,有贵的也有便宜的,要综合其它方面来讨论。制作流片的费用当中,有很大一笔支出是用于制作mask。这方面的虽然一直在寻求制作工艺的改变,并且也做了非常多的尝试,但是制造的价钱却一直都是居高不下,简单来说就是很贵而且因为标准的提高以后会越来越贵。工艺也是影响价格的重要因素,要是你只是自己尝试做一做,不讲究多复杂的工艺,也不用过于追求严谨,那费用其实还好。但是要是到了能够卖出去,让别人使用的那个地步,工艺要求就很高了,价格也会随之上涨。价格方面单个生产和量产也有非常大的区别,开模的价格非常贵,如果只生产一个,那这个费用就只能算在这一片流片上。但是如果量产,把开模的价钱均摊在许多流片上面,单价就不是那么贵了。量越大,价格会越便宜。芯片制造流片的价格受多方面因素的影响,要综合这些因素来看才能得出结论。
2023-07-25 15:03:302

中国能否造出 CPU?

已经造出来了啊不过就是太慢啊
2023-07-25 15:04:1914

半导体opc工程师需要干什么

半导体行业的制程工程师至少有三十到五十个不同工作领域,例如:光晶圆厂和封测厂就有数百个制程,又可分前工程,后工程....所以必须有学习和兴趣,下决心入半导体工作,找到一定领域再告诉你具体做什么的;
2023-07-25 15:04:472

mask的clear和dark是什么意思麻烦告诉我

我所了解的:1. 只要是mask上的图案,肯定不透光,因为那个地方是铬。2. layout上某层为dark表示该处不透光(即暗),所以对应到wafer上是保留该处PR(对正胶而言)。3. layout上某层为clear表示该处 透光(即亮),所以对应到wafer上是去掉该处PR(对正胶而言)。4. layout上图案变成mask图案是由clear或dark参数决定。dark就是以后wafer上面要保留下来的(不是曝光的窗口),clear就是要开出来的,要曝光显影掉的。比如你版图上画的M1,金属连线当然是要保留下来的;NW当然是要开出窗口的,所以一般都是clear的1Darkand Clear :TW 和 JP 定义可能有不同,但很多情况是相同2 关键是maskshop 与foundry 对Darkand Clear 的定义必须一致3 tapeout data (GDS)已包含对刻蚀区与非刻蚀区的定义Darkand Clear : 动词或操作(并不针对mask 透光区glass,不透光区Cr 状态的描述)Clear : 请在制作mask时,保持GDS 数据中刻蚀区与非刻蚀区原样,不得改变(mask 与GDS数据的刻蚀区与非刻蚀区必须完全一致)Dark和clear就是定义你的所画的图形,将来做成光罩对应的部分是chrome,还是glass.
2023-07-25 15:05:071

投资机构IC流程是什么

流程如下:第一阶段、设计准备1. 需求分析:市场调研,同功能芯片功能比较。2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、 协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电路(电源电压、ADC、charge pump、FET)。 3. 撰写文档:总体描述功能,画出系统架构、系统状态跳转图,详细描述各个模块功能、寄存器,给出功能设计图、时序图。第二阶段、方案实施 1. 代码实现:根据以上设计准备阶段指定的设计方案 ,工程师在规定的时间内完成代码实现。 在实现过程中,要考虑:面积、速度、clock gating、CDC、latch等设计要点。 2. 功能前仿:在完成代码实现之前和过程中,验证工程师根据设计方案中每一个功能点写出验证case,并统计覆盖率,保证代码里的branch、line toggle、FSM等都有覆盖到。第三阶段、时序分析 1、综合:在完成代码实现和功能仿真后,根据设计方案,制定时序约束文件,综合工具依据时序约束文件生 2、等效检查:利用等效工具,比较RTL代码和综合生成的网表,检查两者一致。 3、静态时序分析:第四阶段:布局布线 1、网表、库文件:完成第三阶段后,向Layout house提供网表和功能实现过程中用到的库文件,其包括: a. 工艺PDK b. stdcell库数据(lef、lib、cdl、gds) c. 验证规则文件(drc、ant、lvs) d. QRC techfile、nxtgrd e. 网表和SDC 同时,还要提供block形状、面积、PIN坐标。 2、功能后仿:提供上述文件后,layout house将进行PR,生成带延时信息的网表和各种Corner的SDF文件。在拿到网表后,需要使用网表进行功能 一致性检查、静态时序分析,并检查spare gate是否被加入到网表里面。 然后利用这个网表和sdf文件,进行功能后仿(测试用例使用之前功能前仿时的case)。 如果发现时序违例,就要分析违例原因。根据违例原因类型,可以采取修改部分代码、ECO、PR修复等方式来解决违例。 如果需要修改代码或者ECO,在修改完后,需要重复第三阶段过程,并将综合的网表再次提供给layout house,layout house返回网表和SDF后,重复上述检查和仿真;如果进行PR修复,那就是直接由layout house进行修改,layout house返回网表和SDF后,重复上述检查和仿真。 3、等效检查:在拿到网表后,要做formality,将PR后的网表跟RTL进行等效比对,检查设计是否一致。 4、时序检查:使用PR后layout house 提供的 网表、spef 文件,以及sdc,重新跑一次post pt。注意要在sdc 里 加上: set_propagated_clock [all_clocks] 同时,注释掉set_ideal_network。然后分析时序报告,如果跟PR提供的时序报告结果不一致,要搞清楚差异在哪里,时序违例要清干净。第五阶段:signoff 1、review:当上述步骤完成后,还要召集相关designer一起review,确保所有设计都是正确无误的。 a. 确认所有功能都与设计方案一致。 b. 确认所有功能点都有仿真激励覆盖到。 c. 确认所有IP的GDS、PIN连接、电源供电、时序关系。 d. 确认D2A、A2D端口的名称、方向、位宽。 只有上述几项都确认无误,最后才能tapeout。
2023-07-25 15:05:141

验证工程师的跃迁从入门到专业

验证工程师的跃迁从入门到专业如下:一、初出茅庐很多自学者和转行同学早期的一个常见状态:熟悉一定数字电路基础,了解数字设计概念;能够看懂verilog,会写简单的verilog,D触发器之类的;会阅读简单的spec,理解产品手册需求和功能要求。二、崭露头角sv+uvm(前3-6个月),这是大部分从事dv工作者的建议,目前除了大型外企的某些岗位是使用c++来做pv的,可以说uvm已经成了硬通货了,这个阶段不求精通但求能用,可以不会搭建环境,但是得会构建场景。三、渐入佳境handle整个模块从release到RTL freeze到gatesim到tapeout以及post-silicon的整个过程,此时uvm对你来说已经不是难点了,虽然偶尔会因为uvm中某些奇怪的特性卡主,但大部分时间都在构思边界场景,以及如何提高覆盖率。你已经可以对一些简单的设计漏洞自行debug,并给出你的修改意见,你会对designer的笔误十分反感。你会花大量的时间研究design spec,偶尔会看一看uvm的源码,会觉得uvm真的非常强大,同时会发现许多介绍uvm的书并不能涵盖一切应用场景。此时,你最关心的是如何在deadline之前确保验证的完备性和验证的可靠性,如何使得一个测试用例随机出尽可能多的的复杂情况,如何使整个环境的自动化水平变高,如何优雅地写sequence,等等。四、登堂入室在deadline之前完成一个中等模块对你来说已经不是难事,你会时不时关心RTL在改版之后对环境和已有用例的影响,总会觉得上一次做的环境不够完美。你会用自己的方法实现覆盖率驱动验证,写环境和构建用例对你来说已经非常容易,你甚至会觉得这是一个体力活。你会发现设计中的一些不合理的地方,比如fifo居然没有反压机制,支持outstanding的数量不够,数据带宽在某些情况下达不到要求,你会花更多的时间去完善testplan,会更多的关注体系结构和上层数据流动。你已经不满足于基于uvm的simulation ,你会使用一些别的验证方法,比如使用形式验证+assertion的组合验证流控和多路访问的仲裁,与此同时你变得越来越“懒”,对自动化的要求越来越高。
2023-07-25 15:05:331

简述spice的四种level的区别

  HSPICE  先来说说HSPICE,记得我们前面讲过的批处理运行吧。在当时的大公司里,这是电路仿真标准的运行方式,但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果,然后修改电路参数再做仿真。如此多次以达到最佳结果。有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计,看到了这里面的问题。与其让几百个客户排队等一个银行柜员,为什么不让每一个客户都有一个柜员呢?问题就是商机。他们决定跳出来开自己的公司。于是78年,Meta-Software成立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧,为什么要以H开头?这可是兄弟俩姓的第一个字母啊)。他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上。就这样,借着计算机硬件改朝换代的东风,越来越多的公司开始使用HSPICE了。直到如今,这个HSPICE成了工业界的”金标准“。只要你做个仿真器,人们一定会跟HSPICE比结果的。而且,在SPICE前面加一个字母成了时尚。到今天,有人开玩笑说A-SPICE一直到Z-SPICE都已经被人用过了(当然,HSPICE仍然是最出名的)。  有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功?呵呵,成功的要素有很多,光用一条是远远不够的。比如说用户的反馈就是相当重要的一条。举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的。像这样的例子还有很多。这些虽然不是什么革命性的技术创新,但它们很实用,能大大提高用户的使用效率。甚至某些时候,对用户来说,这样的小改进比创新的算法更重要。  前面我们提到了七八十年代有很多的 MOSFET器件模型。HSPICE把能拿到的器件模型都收进去了。所以,HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意,它是一本独立的手册。也就是说,光是它里面的七八十个MOSFET模型就是一本书了)。但这样还不够。Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系。在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了。如果你是设计者,你还能不用它吗?这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样,一旦超过了临界质量(criticalmass),它自己就会越滚越大。据Meta-Software的人说,在公司巅峰的时候,他们的销售员就是一台传真机。你只要把传真机号码告诉客户,他们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)。从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE,它的年成长率达到了25-30%。  1996年Meta-Software被Avant!收购。到2001年,Avant!又被Synopsys收购。关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马。它的故事足可以写另一个长篇了。这里且按下不表。  Meta-Software兄弟俩中的老大,ShawnHailey,已于2011年去世。在此之前,他把自己的名字改成了AshawnaHailey。  PSPICE  PSPICE像HSPICE一样,PSPICE的故事也跟它的名字有关。首先,这第一个字母“P”并不是其创始人的名字。事实上,创始人的名字WolframBlume里根本没有字母“P”。那这字母“P”到底是什么意思呢?对了,它就是PC。PSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷。  时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司。工作中,他听到很多抱怨,说公司内部的SPICE速度太慢了。这位老兄也不含糊,立马对其SPICE来了一个详尽的分析。结果发现,大部分时间花在了算 MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想,如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵,又是一个看到商机的主)?恰恰那个时候英特尔推出了支持硬件并行的8085/8086/8087。说干就干。这位老哥创立了MicroSim公司。又是在这时,IBM推出了基于Intel芯片的IBM-PC。另一个机会又来了:只要把SPICE从大型机上移植到PC上就行了。这事儿比起第一个事儿简单太多了。可是,人们当时认为PC就是个游戏机而已,没人拿它来做什么正经事儿(呵呵,看看现在不还是这样吗?)。所以,这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行。  当时的IBM-PC有640KB内存。最大的数组只允许64KB内存。而SPICE是用一个巨长的数组来存储所有的数据。把SPICE的数据放到IBM-PC的结构,用这位老哥的话说,就像把一只鲸鱼塞进一个金鱼缸里。但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理,可他们也快没钱了。这位老兄忽然想到,咱不是把SPICE移植到PC上了吗?咱就先卖着这个软件,用卖它的钱继续开发咱的并行硬件。就这样,PSPICE就开始在PC上出现了。  最初这位老兄想卖硬件加速器的PSPICE版本,可结果恰恰相反,俩年后,纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套。到这时候,这位老兄也明白了。做硬件吃力不讨好,市场并不需要。他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。  同学你看,一个高新复杂的技术并不一定会做出一个卖座的产品。反过来,一个貌似简单的技术可能很受市场的欢迎。另外,PSPICE虽然不是赚钱最多的,但它的用户数绝对是最大的(遍及全世界五大洲)。你可以下载一个免费的PSPICE用。当然,只限于十个晶体管。但这对一般学生的学习来讲,大部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜,就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话,很可能也在用PSPICE。  下面是PSPICE第二版的封面。  MicroSim1998年被OrCAD收购。OrCAD在2000年又被Cadence收购。  Spectre  Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生。其中一个叫 KenKundent。Ken非常有才气。他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小。在加入了Candence后,他看到HSPICE卖的很火,就决定做个新的仿真工具去取代它。这就是Spectre。据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)。SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性。但它并没能取代HSPICE。为什么呢?一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同。Ken计算机编程的功底很深,他设计的Spectre的输入格式像C语言一样。虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范,但SPICE的用户是电路设计者,他们才不管你的语言多么优美,只要好用就行。另外,如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式,用它已经写了成百上千个电路网表,而且它们都工作的好好的,为什么要去换成另外一个呢?另外,还有一个更重要的原因,就是用户对HSPICE的信赖。这种信赖不是一时半会儿就能建立起来的。它是经过几十年,成千上万遍仿真,几百次tapeout(送出去流片)才能形成的。怎么能说换就换呢?  Ken琢磨着,既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西。做什么呢?恰好在九十年代中期,一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)。(这里再插一句,最早的数模混合电路描述语言是MAST,它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的。后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用。而Verilog主要在美国使用)。Ken就想,好吧,我们也来做个标准的设计语言到Spectre里。这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难。首先,既然你是标准,那就要大伙儿都同意。让大伙儿都同意的事是要花时间的,没那么快。其次更重要的,是你要让模拟电路设计者来学习并使用这个语言。这可是比登天还难的事儿。如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言?当然是电路了。至少到今天为止,还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材,几乎全部都是 VHDL或 Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路,那你的年龄够大了)。另外,当你做模拟设计的时候,你是在搭晶体管电路呢,还是在写描述语言?对模拟电路设计者来说,用语言而不用电路来做设计是不可想象的。反过来,对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的。  Spectre-AMS做出来后,Ken发现当时的感兴趣者寥寥无几(呵呵,这哥儿们专找硬骨头啃)。那怎么办?在公司做产品是要卖钱的。Ken有点儿绝望了。这时,他想到了回去做他在学校做的老本行:射频电路仿真。至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHogan。Jim做了个市场调查。那时射频电路设计市场几乎不存在,只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉Ken,Ken也无可奈何的耸耸肩。Jim对Ken看了好一会儿,说,管它呢,你就做去吧。谁知道这一次却是歪打正着了。九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加入了新的做射频电路芯片的设计公司。这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项。因此,Spectre也就借着Spectre-RF的东风开始流行起来了。后来,HSPICE和Smart-Spice也跟风在自己的SPICE中加进了RF的选项。这也算是Spectre对SPICE的功能扩展做的贡献吧。  Smart-Spice  Smart-Spice是Silvaco公司的产品。说到Silvaco,就不得不说它的创始人 IvanPesic。Ivan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样,通过自己的勤奋努力来到美国。来美国之后,他先开了一家修车店。直到攒够了钱,才在1984年成立了Silvaco。他有一个儿子。可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工。因此学习也不上进。怎么让这小子好好学习呢?简单。有一天,老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面,对儿子说:你就坐在这儿,看看汽车修理工一天的工作是什么样的。自从那一天结束以后,儿子的学习成绩就全变成A了。  说到IvanPesic,我们还不得不说他打官司的故事。Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司。话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天,这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是 TCAD(TechnologyCAD),并不是SPICE。这时它也准备开发自己的Smart-Spice,但它拿不到HSPICE的Level28模型。怎么办?Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost。它就找到Meta-Software说,你看,如果把你们的Level28模型公式放到我们的Utmost中,就会有更多的用户用你们的HSPICE。Meta一想也对,就把Level28模型给了Silvaco。没成想,过了二年,Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型。这下Meta-Software气坏了。就把Silvaco告上了法庭。也就在这个前后,Avant!并购了Meta-Software。但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司。也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子。不管是什么原因,当法庭开庭要宣判的那一天,Avant!居然没有人出庭。这下法官可气坏了。好啊,竟敢藐视本法庭,来啊,判Avant!输,并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了。这下到好,不光不用和解了,还得了一大笔钱。呵呵,人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司。所以同学,如果你是学理工出身的,那你不妨去学学法律。如果你是学文科出身的,那你不妨去学学理工。估摸着在不久的将来,国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的。  但是,一个公司如果光靠打官司,那也是赢得不了客户的。说实话,Smart-Spice做得还是蛮不错的,价格又便宜。Smart-Spice还是第一个“基于使用时间许可证”(use-timebased license)的工具。这对许多小公司或个人用户是个好消息。如果你没几万美元去买高大上的商业SPICE,或者你就只需跑几次仿真,那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样。卖车店能赚钱,租车店也会有很多顾客的。这不也是一个很好的商业模式吗?  IvanPesic于2012年因癌症在日本去世。如今,他本来想当汽车修理工的儿子已经继承了老爸的事业,接替掌管Silvaco了。  Aeolus-AS  我们应该感到骄傲– 这是我们中国本土的SPICE。虽然名字叫起来很拗口。光从名字上也看不出这是SPICE。它是由北京华大九天开发的。至于为什么起这样一个名字,还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具。下面的几句话是从华大的网页上摘下来的,也算给他们做个广告吧。“它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前验证大规模电路所遇到的容量、速度瓶颈。Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升,同时支持多核并行。”  还有一类是工业界但非商业(也就是不拿出来卖的)SPICE。通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队。这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了。
2023-07-25 15:06:051

哪里有好点的IC版图培训?想改行做IC版图设计,可是不知道哪里可以提供培训!

版图设计最被看重的是tapeout经验。估计一般的培训机构不会提供流片机会吧。所以只是单纯学习的话,比较有名气的大学或研究机构提供的培训应该还行吧。关键是培训完后马上找一个版图设计的工作。
2023-07-25 15:06:151

电器中OUT是什么意思?

看是在什么接口 如果是在音频接口 就代表输出音频
2023-07-25 15:06:399

投资机构IC流程是什么

流程如下:第一阶段、设计准备1. 需求分析:市场调研,同功能芯片功能比较。2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、 协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电路(电源电压、ADC、charge pump、FET)。 3. 撰写文档:总体描述功能,画出系统架构、系统状态跳转图,详细描述各个模块功能、寄存器,给出功能设计图、时序图。第二阶段、方案实施 1. 代码实现:根据以上设计准备阶段指定的设计方案 ,工程师在规定的时间内完成代码实现。 在实现过程中,要考虑:面积、速度、clock gating、CDC、latch等设计要点。 2. 功能前仿:在完成代码实现之前和过程中,验证工程师根据设计方案中每一个功能点写出验证case,并统计覆盖率,保证代码里的branch、line toggle、FSM等都有覆盖到。第三阶段、时序分析 1、综合:在完成代码实现和功能仿真后,根据设计方案,制定时序约束文件,综合工具依据时序约束文件生 2、等效检查:利用等效工具,比较RTL代码和综合生成的网表,检查两者一致。 3、静态时序分析:第四阶段:布局布线 1、网表、库文件:完成第三阶段后,向Layout house提供网表和功能实现过程中用到的库文件,其包括: a. 工艺PDK b. stdcell库数据(lef、lib、cdl、gds) c. 验证规则文件(drc、ant、lvs) d. QRC techfile、nxtgrd e. 网表和SDC 同时,还要提供block形状、面积、PIN坐标。 2、功能后仿:提供上述文件后,layout house将进行PR,生成带延时信息的网表和各种Corner的SDF文件。在拿到网表后,需要使用网表进行功能 一致性检查、静态时序分析,并检查spare gate是否被加入到网表里面。 然后利用这个网表和sdf文件,进行功能后仿(测试用例使用之前功能前仿时的case)。 如果发现时序违例,就要分析违例原因。根据违例原因类型,可以采取修改部分代码、ECO、PR修复等方式来解决违例。 如果需要修改代码或者ECO,在修改完后,需要重复第三阶段过程,并将综合的网表再次提供给layout house,layout house返回网表和SDF后,重复上述检查和仿真;如果进行PR修复,那就是直接由layout house进行修改,layout house返回网表和SDF后,重复上述检查和仿真。 3、等效检查:在拿到网表后,要做formality,将PR后的网表跟RTL进行等效比对,检查设计是否一致。 4、时序检查:使用PR后layout house 提供的 网表、spef 文件,以及sdc,重新跑一次post pt。注意要在sdc 里 加上: set_propagated_clock [all_clocks] 同时,注释掉set_ideal_network。然后分析时序报告,如果跟PR提供的时序报告结果不一致,要搞清楚差异在哪里,时序违例要清干净。第五阶段:signoff 1、review:当上述步骤完成后,还要召集相关designer一起review,确保所有设计都是正确无误的。 a. 确认所有功能都与设计方案一致。 b. 确认所有功能点都有仿真激励覆盖到。 c. 确认所有IP的GDS、PIN连接、电源供电、时序关系。 d. 确认D2A、A2D端口的名称、方向、位宽。 只有上述几项都确认无误,最后才能tapeout。
2023-07-25 15:07:251

foundry工艺工程师这个职位怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:07:321

女生学微电子是什么体验?

平常认真上课自习,课下积极写作业看书。成绩中上,然而都大三了,感觉自己还是啥都不会。
2023-07-25 15:07:579

NVIDIA什么时候出Dx11显卡?

NV 现在是想搞费米构架 但是又碰到了许多问题 加上时间紧迫 AMD那边逼得太紧5XXX系列太红 NV无奈才放出一代又一代的马甲 G300 也不会有质的提升 实际在拖延时间包括G200 等费米上线的时候准备打个漂亮的翻身仗
2023-07-25 15:08:144

谁有IC的制作流程?

推荐一本书:任艳颖,王彬 编著的《IC设计基础》,关于流程(包括ASIC和FPGA),讲的很详细
2023-07-25 15:08:372

foundry工艺工程师怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:08:451

foundry工艺工程师如何发展

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:08:531

为什么许多EDA工具只有Linux版本

据我所知, Virtuoso (我猜题主说的Cadence指的是Virtuoso或者icfb) 和MMSIM/ICC/DC (我猜题主说的Synopsys是指这些软件中的一个) 在出现的时候, 家用机(PC)还没有如此强大的计算能力, 所以在最早期的EDA工具开发中, 逐渐适用了Server, 也即Sun OS和Linux后来Sun OS挂了(基本也就相当于Linux了), 所以目前很多的EDA Tool依然是基于Linux的. 但是, 很大一部分的工具已经开始向Windows Server 改进了.(Virtuoso 61x已经支持Windows Server了, 虽然Bug多多~; MMSIM很早就随着FPGA系列软件渗入Windows了, 只是可能不直接显示Synopsys商标; )其他一些题主没有提到的优秀的软件(譬如COMSOL, HMSS, ADS等) 早已在Windows 里站稳脚跟. 只是Virtuoso 和 一部分大型的Synopsys软件依然需要依靠Server才能跑, 所以停留在了Linux模式. 个人认为, Linux下的命令行模式进行这些大操作反而方便, 至于电路图或者版图只需辅助以相应的GUI即可; 相比之下, Windows 下的PSpice让我用的痛不欲生... 虽然GUI相比好看很多, 但是模拟的精度和速度... 还是停留在200+ nm比较好... 另外就是这种主流大型软件价格是针对公司/学校这种地方的。 这些地方主流的大型服务器也都是linux(详情可以去看看为什么主流服务器是Linux)这些软件一般给学校等教育场所一些较为便宜的license server, 比如$5000 一年, 足够绝大多数layout/simulation/tapeout 使用了标准商用价格基本是 15,000/lic / year。 我不认为有人会自己闲着无聊去买。所以也不会有针对pc的开发。
2023-07-25 15:09:021

foundry的工艺工程师待遇怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:09:101

silvaco模拟的时候,不输入fermi 是不是软件还是用它

  HSPICE  先来说说HSPICE,记得我们前面讲过的批处理运行吧。在当时的大公司里,这是电路仿真标准的运行方式,但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果,然后修改电路参数再做仿真。如此多次以达到最佳结果。有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计,看到了这里面的问题。与其让几百个客户排队等一个银行柜员,为什么不让每一个客户都有一个柜员呢看问题就是商机。他们决定跳出来开自己的公司。于是78年,Meta-Software成立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧,为什么要以H开头看这可是兄弟俩姓的第一个字母啊)。他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上。就这样,借着计算机硬件改朝换代的东风,越来越多的公司开始使用HSPICE了。直到如今,这个HSPICE成了工业界的地金标准逗。只要你做个仿真器,人们一定会跟HSPICE比结果的。而且,在SPICE前面加一个字母成了时尚。到今天,有人开玩笑说A-SPICE一直到Z-SPICE都已经被人用过了(当然,HSPICE仍然是最出名的)。  有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功看呵呵,成功的要素有很多,光用一条是远远不够的。比如说用户的反馈就是相当重要的一条。举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的。像这样的例子还有很多。这些虽然不是什么革命性的技术创新,但它们很实用,能大大提高用户的使用效率。甚至某些时候,对用户来说,这样的小改进比创新的算法更重要。  前面我们提到了七八十年代有很多的 MOSFET器件模型。HSPICE把能拿到的器件模型都收进去了。所以,HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意,它是一本独立的手册。也就是说,光是它里面的七八十个MOSFET模型就是一本书了)。但这样还不够。Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系。在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了。如果你是设计者,你还能不用它吗看这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样,一旦超过了临界质量(criticalmass),它自己就会越滚越大。据Meta-Software的人说,在公司巅峰的时候,他们的销售员就是一台传真机。你只要把传真机号码告诉客户,他们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)。从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE,它的年成长率达到了25-30%。  1996年Meta-Software被Avant!收购。到2001年,Avant!又被Synopsys收购。关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马。它的故事足可以写另一个长篇了。这里且按下不表。  Meta-Software兄弟俩中的老大,ShawnHailey,已于2011年去世。在此之前,他把自己的名字改成了AshawnaHailey。  PSPICE  PSPICE像HSPICE一样,PSPICE的故事也跟它的名字有关。首先,这第一个字母逗P地并不是其创始人的名字。事实上,创始人的名字WolframBlume里根本没有字母逗P地。那这字母逗P地到底是什么意思呢看对了,它就是PC。PSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷。  时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司。工作中,他听到很多抱怨,说公司内部的SPICE速度太慢了。这位老兄也不含糊,立马对其SPICE来了一个详尽的分析。结果发现,大部分时间花在了算 MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想,如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵,又是一个看到商机的主)看恰恰那个时候英特尔推出了支持硬件并行的8085/8086/8087。说干就干。这位老哥创立了MicroSim公司。又是在这时,IBM推出了基于Intel芯片的IBM-PC。另一个机会又来了:只要把SPICE从大型机上移植到PC上就行了。这事儿比起第一个事儿简单太多了。可是,人们当时认为PC就是个游戏机而已,没人拿它来做什么正经事儿(呵呵,看看现在不还是这样吗看)。所以,这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行。  当时的IBM-PC有640KB内存。最大的数组只允许64KB内存。而SPICE是用一个巨长的数组来存储所有的数据。把SPICE的数据放到IBM-PC的结构,用这位老哥的话说,就像把一只鲸鱼塞进一个金鱼缸里。但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理,可他们也快没钱了。这位老兄忽然想到,咱不是把SPICE移植到PC上了吗看咱就先卖着这个软件,用卖它的钱继续开发咱的并行硬件。就这样,PSPICE就开始在PC上出现了。  最初这位老兄想卖硬件加速器的PSPICE版本,可结果恰恰相反,俩年后,纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套。到这时候,这位老兄也明白了。做硬件吃力不讨好,市场并不需要。他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。  同学你看,一个高新复杂的技术并不一定会做出一个卖座的产品。反过来,一个貌似简单的技术可能很受市场的欢迎。另外,PSPICE虽然不是赚钱最多的,但它的用户数绝对是最大的(遍及全世界五大洲)。你可以下载一个的PSPICE用。当然,只限于十个晶体管。但这对一般学生的学习来讲,大部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜,就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话,很可能也在用PSPICE。  下面是PSPICE第二版的封面。  MicroSim1998年被OrCAD收购。OrCAD在2000年又被Cadence收购。  Spectre  Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生。其中一个叫 KenKundent。Ken非常有才气。他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小。在加入了Candence后,他看到HSPICE卖的很火,就决定做个新的仿真工具去取代它。这就是Spectre。据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)。SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性。但它并没能取代HSPICE。为什么呢看一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同。Ken计算机编程的功底很深,他设计的Spectre的输入格式像C语言一样。虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范,但SPICE的用户是电路设计者,他们才不管你的语言多么优美,只要好用就行。另外,如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式,用它已经写了成百上千个电路网表,而且它们都工作的好好的,为什么要去换成另外一个呢看另外,还有一个更重要的原因,就是用户对HSPICE的信赖。这种信赖不是一时半会儿就能建立起来的。它是经过几十年,成千上万遍仿真,几百次tapeout(送出去流片)才能形成的。怎么能说换就换呢看  Ken琢磨着,既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西。做什么呢看恰好在九十年代中期,一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)。(这里再插一句,最早的数模混合电路描述语言是MAST,它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的。后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用。而Verilog主要在美国使用)。Ken就想,好吧,我们也来做个标准的设计语言到Spectre里。这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难。首先,既然你是标准,那就要大伙儿都同意。让大伙儿都同意的事是要花时间的,没那么快。其次更重要的,是你要让模拟电路设计者来学习并使用这个语言。这可是比登天还难的事儿。如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言看当然是电路了。至少到今天为止,还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材,几乎全部都是 VHDL或 Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路,那你的年龄够大了)。另外,当你做模拟设计的时候,你是在搭晶体管电路呢,还是在写描述语言看对模拟电路设计者来说,用语言而不用电路来做设计是不可想象的。反过来,对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的。  Spectre-AMS做出来后,Ken发现当时的感兴趣者寥寥无几(呵呵,这哥儿们专找硬骨头啃)。那怎么办看在公司做产品是要卖钱的。Ken有点儿绝望了。这时,他想到了回去做他在学校做的老本行:射频电路仿真。至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHogan。Jim做了个市场调查。那时射频电路设计市场几乎不存在,只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉Ken,Ken也无可奈何的耸耸肩。Jim对Ken看了好一会儿,说,管它呢,你就做去吧。谁知道这一次却是歪打正着了。九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加入了新的做射频电路芯片的设计公司。这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项。因此,Spectre也就借着Spectre-RF的东风开始流行起来了。后来,HSPICE和Smart-Spice也跟风在自己的SPICE中加进了RF的选项。这也算是Spectre对SPICE的功能扩展做的贡献吧。  Smart-Spice  Smart-Spice是Silvaco公司的产品。说到Silvaco,就不得不说它的创始人 IvanPesic。Ivan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样,通过自己的勤奋努力来到美国。来美国之后,他先开了一家修车店。直到攒够了钱,才在1984年成立了Silvaco。他有一个儿子。可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工。因此学习也不上进。怎么让这小子好好学习呢看简单。有一天,老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面,对儿子说:你就坐在这儿,看看汽车修理工一天的工作是什么样的。自从那一天结束以后,儿子的学习成绩就全变成A了。  说到IvanPesic,我们还不得不说他打官司的故事。Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司。话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天,这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是 TCAD(TechnologyCAD),并不是SPICE。这时它也准备开发自己的Smart-Spice,但它拿不到HSPICE的Level28模型。怎么办看Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost。它就找到Meta-Software说,你看,如果把你们的Level28模型公式放到我们的Utmost中,就会有更多的用户用你们的HSPICE。Meta一想也对,就把Level28模型给了Silvaco。没成想,过了二年,Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型。这下Meta-Software气坏了。就把Silvaco告上了法庭。也就在这个前后,Avant!并购了Meta-Software。但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司。也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子。不管是什么原因,当法庭开庭要宣判的那一天,Avant!居然没有人出庭。这下法官可气坏了。好啊,竟敢藐视本法庭,来啊,判Avant!输,并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了。这下到好,不光不用和解了,还得了一大笔钱。呵呵,人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司。所以同学,如果你是学理工出身的,那你不妨去学学法律。如果你是学文科出身的,那你不妨去学学理工。估摸着在不久的将来,国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的。  但是,一个公司如果光靠打官司,那也是赢得不了客户的。说实话,Smart-Spice做得还是蛮不错的,价格又便宜。Smart-Spice还是第一个逗基于使用时间许可证地(use-timebased license)的工具。这对许多小公司或个人用户是个好消息。如果你没几万美元去买高大上的商业SPICE,或者你就只需跑几次仿真,那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样。卖车店能赚钱,租车店也会有很多顾客的。这不也是一个很好的商业模式吗看  IvanPesic于2012年因癌症在日本去世。如今,他本来想当汽车修理工的儿子已经继承了老爸的事业,接替掌管Silvaco了。  Aeolus-AS  我们应该感到骄傲– 这是我们中国本土的SPICE。虽然名字叫起来很拗口。光从名字上也看不出这是SPICE。它是由北京华大九天开发的。至于为什么起这样一个名字,还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具。下面的几句话是从华大的网页上摘下来的,也算给他们做个广告吧。逗它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前验证大规模电路所遇到的容量、速度瓶颈。Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升,同时支持多核并行。地  还有一类是工业界但非商业(也就是不拿出来卖的)SPICE。通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队。这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了。
2023-07-25 15:09:171

A股珠宝上市公司有哪些

603900通灵珠宝000587金洲慈航600086东方金钰600612老凤祥002721金一文化002345潮宏基002356赫美集团002574明牌珠宝002740爱迪尔000026飞亚达A002731萃华珠宝
2023-07-25 15:00:374

怎么读give me five

give==给无me===米five==非爱五(要很快的连起来读哦)givemefive的意思就是跟我击掌的意思
2023-07-25 15:00:372

三星fold3和fold4有什么区别

三星fold3和fold4区别如下:外观首先,从正面外观上看,三星Z Fold4在外观设计上体现在铰链的体积减小,外屏黑边减小,使得屏占比变得更高,屏占比从24.5:9变为23:9,比例更加和谐,同时在用户单手握持操作的时候,手指更加容易操控手机。机身采用了轻量化设计,机身重量减轻至263g。展开屏幕之后,内屏的黑边同样进一步减小,屏占比同样变得更高,使得用户能够获得更为沉浸的大屏体验。上一代Galaxy Z Fold3的屏下摄像头区域“颗粒感强”的问题,在Z Fold4上得到了改善,采用了全新的UPC Plus技术屏下摄像头技术,采用了全新的像素排列,且视觉密度提升了40%,也就是说不仅效果更好了,同时颗粒感强的问题也在Fold4上得到了有效改善。硬件这一代Z Fold4带来了更为强悍的屏幕素质,内屏搭载了新一代折Eco? AMOLED Plus技术的折叠屏,其峰值亮度可以达到1000nit,面对阳光也能轻松看清屏幕内容,而且支持1~120Hz自适应高刷新率,不仅带来了舒适的流畅性,而且还更加省电。性能方面Z Fold4搭载了骁龙8+ Gen 1旗舰级移动平台,相比上一代的骁龙888,骁龙8+ Gen1不仅性能更加强悍,而且相比上一代性能提升了20%以上,在同样的任务场景下,骁龙8+不仅能可以拥有更高的性能体验,而且更加省电。得益于强大的骁龙8+移动平台,Z Fold4的续航表现也有了不小提升,得益于支持低功耗智能省电功能,能够带来更强的续航表现。它还支持超快有线充电和无线充电,让用户能够更方便地补充手机电量。此外,Z Fold4这次在影像方面也迎来改变,不仅搭载了5000万像素的旗舰级主摄,而且带来了24mm的焦距,取景框能够获得更广阔的视野,同时它还支持OIS光学防抖和全像素双核对焦,前者能在夜间时带来更好的画质表现,后者能够极大地提升对焦速度,让用户能够实现快速对焦拍摄。总结总得来说,虽然三星Galaxy Z Fold4没有大刀阔斧的外观变化,但是在细节方面拥有不少改变和提升,除了硬件之外,基于Android 12L的One UI 4.1.1系统,带来了全新的系统交互操作,实现了媲美PC的生产力体验。因此无论是老机型用户和想要尝鲜折叠屏手机的用户,Galaxy Z Fold4依然是值得入手的折叠屏旗舰。
2023-07-25 15:00:381

chasing the wild goose 歌词

歌曲名:chasing the wild goose歌手:Bad Religion专辑:into the unknownthere was a man who banged his head against a wallhe banged for 20 years, the damn thing wouldn"t fallBad Religionhe left an honest lifehe left a broken wifehe left it all behind, just to see what he could findmillions and millions chase the wild goose tonightto conquer loneliness they"ll chase it all their livesit seems the game is mostly pointless in the presence of the prize.there was a woman who had a man as cold as icehe built four walls so strong and he kept her locked insideshe harbored lonelinessher husband couldn"t guessthat she"d take off her dressand kill herself without a mess.http://music.baidu.com/song/14134508
2023-07-25 15:00:411

give me five和high five的区别?

givemefive就是击掌,加油除了givemefive,还有一个givemeahigh-five,意思也是“击掌”,所不同的是givemefive是双手平伸而击掌,而givemeahigh-five是高举双手而击掌,表现出欢畅的气氛
2023-07-25 15:00:453

华东政法大学是211吗

华东政法大学不是211大学。华东政法大学成立于1952年,是新中国创办的第一批高等政法院校,中央与地方共建高等学校,上海市高水平地方高校。是一所以法学学科为主,兼有经济学、管理学、文学、工学等学科的办学特色鲜明的多科性大学。华东政法大学拥有法学、公共管理一级学科博士学位授予权、硕士学位授予权,应用经济学、政治学、马克思主义理论、社会学、外国语言文学、新闻传播学一级学科硕士学位授予权,建有16个博士学位二级授权学科、46个硕士学位二级授权学科、24个本科专业,以及法学博士后流动站。
2023-07-25 15:00:491

新三国演义啥时候拍的

新三国演义是2010年高希希导演,朱苏进编剧,陈建斌、陆毅、于和伟、张博、黄维德、倪大红、何润东等主演的大型史诗电视剧。
2023-07-25 15:00:511

give me five 平假名完整歌词

GIVE ME FIVE!作词︰秋元康作曲 笹渕大介编曲 野中 まさ 雄一歌 AKB48桜(さくら)の歌(うた)が街(まち)に流(なが)れあっと言(い)う间(ま)だった别(わか)れの日(ひ)校舎(こうしゃ)の壁(かべ)のその片隅(かたすみ)みんなでこっそり寄(よ)せ书(が)きした制服(せいふく)はもう脱(ぬ)ぐんだ春(はる)の风(かぜ)に吹(ふ)かれながらどんな花(はな)もやがては散(ち)って新(あたら)しい梦(ゆめ)を见(み)る友(とも)よ 思(おも)い出(で)より辉(かがや)いてる 明日(あす)を信(しん)じようそう 卒业(そつぎょう)とは出口(でくち)じゃなく 入(い)り口(くち)だろう友(とも)よ それぞれの道(みち)进(すす)むだけだ サヨナラを言(い)うなまた すぐに会(あ)えるだから今(いま)は ハイタッチしよう何枚(なんまい)写真(しゃしん)を撮(と)って见(み)ても大事(だいじ)だったものは残(のこ)せない喧哗(けんか)して口(くち)闻(き)いてなかったあいつと何故(なぜ)か肩(かた)を组(く)んでたまだ谁(だれ)も帰(かえ)らなくて教室(きょうしつ)が狭(せま)く见(み)えるよ窓(まど)に押(お)しい时间(じかん)の先(さき)に仆(ぼく)らの未来(みらい)がある涙(なみだ) 来(こ)られるより生(う)まれてから 一番(いちばん)泣(な)いてみよそう 辛(つら)いことはまたまたある 惯(な)れておこうぜ涙(なみだ) ぐしゃぐしゃの颜(かお)见(み)せ合(あ)ったら 何(なん)でも话(はな)せるね一生(いっしょう)の亲友(しんゆう)だ 忘(わす)れるなよハイタッチしよう友(とも)よ 思(おも)い出(で)より辉(かがや)いてる 明日(あす)を信(しん)じようそう 卒业(そつよう)とは出口(でくち)じゃなく 入(い)り口(くち)だろう友(とも)よ 巡(めぐ)り逢(あ)えて最高(さいごう)だった 青春(せいしゅん)の日々(ひび)にまだ 言(い)えなかったありがとうを ハイタッチで…
2023-07-25 15:00:521

华东政法大学怎么样?

华东政法大学是全国政法类大学的前三强之一,在法学领域久负盛名,是级别很高的政法大学。根据2021年中国政法类大学排行榜,华东政法大学位于全国第2,仅次于中国政法大学。根据2021年校友会中国大学排行榜,该校排名第154名,在四非大学里排名非常靠前,实力十分强劲。学校是省部共建大学,而且入选了教育部“卓越法律人才教育培养计划”。华东政法大学前身为原圣约翰大学、复旦大学、南京大学、东吴大学、厦门大学、沪江大学、安徽大学、上海学院、震旦大学等9所院校的法律系、政治系和社会系合并组建成立的华东政法学院;学校于1958年并入上海社会科学院;1963年再次筹建,次年招生;1966年停止招生,1972年被撤销;1979年3月,经中华人民共和国国务院批准,学校第二次复校;2007年3月,经中华人民共和国教育部批准,学校更名为华东政法大学。就业率根据华东政法大学2020年毕业生就业质量报告,其本科毕业生就业率为89.64%,接近九成。其中法学(本硕贯通卓越法律人才实验班班)、翻译、德语、行政管理、公共事业管理五个专业就业率为100%,还有金融学、新闻学等多个专业就业率超过了90%。据统计,本科毕业生到华东地区就业的占76.24%,以上海为主要就业地,选择留在上海就业的为523人。就业是双向选择,可见上海市各企业认可该校毕业生实力,也说明上海人认可华东政法大学的实力。
2023-07-25 15:00:591

新三国演义哪个台播啊?什么时间

已经有的看了http://hi.baidu.com/525005/blog/item/97d1e67e5fe5880428388a84.html
2023-07-25 15:01:009

求 everything at once这首歌曲资源

啥里面的歌?
2023-07-25 15:00:342

求适合中国毕业时唱的akb48的give me five中文歌词

樱花的歌街流好象说了的日被别回想校舍的墙壁的那个角落大家寄来书偷偷修了制服已经脱的。春天的风吹拂着什么样的花也不久就会散落新梦为见的朋友啊比回忆更辉。明天要相信毕业业是这样出口不是入口吧朋友啊各自的道路进徒劳银白。说再见的又马上见面所以现在击掌吧几张照片也试着重要的东西是不残せ吵架口听了那家伙不知为何肩膀组吗。还谁也不回家教室窄见实现啊令人恋恋不舍时间的前方我我们的未来。眼泪比忍受从出生开最哭吧是痛苦的事还有被惯吧!眼泪被浸透的颜如果让见互相什么都话人啊一生的亲友。别忘了!击掌吧朋友啊比回忆更辉。明天要相信毕业业是这样出口不是入口吧朋友啊是与你邂逅了最高的青春的日子还没能说出口的谢谢击掌
2023-07-25 15:00:303

什么是E一mail邮箱

电子邮箱的意思,比如QQ邮箱,网易邮箱,126邮箱等等。
2023-07-25 15:00:274

ventieates是什么意思 ,意思

没有这个单词
2023-07-25 15:00:262

fold3和fold4区别

fold3和fold4区别在于:fold4在综合外观和性能上都进行了升级。从正面外观上看,三星Z Fold4在外观设计上体现在铰链的体积减小,外屏黑边减小,使得屏占比变得更高,屏占比从24.5:9变为23:9,比例更加和谐,同时在用户单手握持操作的时候,手指更加容易操控手机。机身采用了轻量化设计,机身重量减轻至263g。展开屏幕之后,内屏的黑边同样进一步减小,屏占比同样变得更高,使得用户能够获得更为沉浸的大屏体验。上一代Galaxy Z Fold3的屏下摄像头区域“颗粒感强”的问题,在Z Fold4上得到了改善,采用了全新的UPC Plus技术屏下摄像头技术,采用了全新的像素排列,且视觉密度提升了40%,也就是说不仅效果更好了,同时颗粒感强的问题也在Fold4上得到了有效改善。性能方面,Z Fold4搭载了骁龙8+ Gen 1旗舰级移动平台,相比上一代的骁龙888,骁龙8+ Gen1不仅性能更加强悍,而且相比上一代性能提升了20%以上,在同样的任务场景下,骁龙8+不仅能可以拥有更高的性能体验,而且更加省电。
2023-07-25 15:00:211

AKB48歌曲give me five 歌词中文翻译。

街上播著樱花的歌
2023-07-25 15:00:193

Have you ever seen the man in the moon ?的意思,急急急!!!!!!马上,跪求, 十分钟内

你可曾看到了月球上的人?如果你夜晚仔细地看月亮,你可以看到在月球上有人脸。有些人说,他们可以看到一个老人携带棍棒。其他人看到一个女孩读书。这些画面是由月亮上山区和平原构成的。 很久以前,人们在英国曾经告诉自己的孩子,那是由绿色的奶酪构成的。大家都知道,这是不正确的。月亮是一个大的圆形石头。上面什么都没有。没有树木或植物在月球上。也没有河流或海洋。更没有活着的东西。没有空气。雨也永远不会出现在月球上,一切都覆盖着干的,白色的粉尘。甚至没有一个可以听到的声音 出现在月球上。 当阳光照在它的上面时,月球非常热。但是,在背面却是非常冰冷。 月亮是比地球小。它并没有地球的重量。如果你去了月球,比你现在,你就只有六分之一的体重了。即使是一个胖子在那儿,也能够跳得很高。
2023-07-25 15:00:185

什么是深拷贝和浅拷贝以及如何实现深拷贝

43.避免对象的浅拷贝拷贝是在内存中进行,不通过new对象的性能高浅拷贝:只拷贝基本类型性的成员变量(值),没有对成员对象实现拷贝(拷贝的是引用地址)(1)少量类的深拷贝:拷贝类和成员对象实现Cloneable接口并实现clone方法。(本例)(2)大量类的深拷贝:每个类是向clone方法,工作量太大了,可以使用序列化拷贝 (44例子)(3)当然除了自己实现序列化拷贝,可以直接使用Apache下common工具类SerializationUtil序列化深拷贝
2023-07-25 15:00:162

TDD-LTE和TD-LTE

嗯那我简单的和你说TDD是时分双工的意思,FDD是频分双工的意思,LTE是长期演进的意思TDD-LTE是说这卡的工作模式是时分双工的模式TD-LTE(时分复用)就是移动的4G卡FDD-LTE(频率复用)就是联通的4G卡手机网络模式上有TD-LTE就支持移动4G,有FDD-LTE就是支持联通4G有帮助请采纳,纯手打的。。。
2023-07-25 15:00:152

《三国演义》作为四大名著之一,新三国演义中七擒孟获是哪一集?

新版三国中木有七擒孟获这段....
2023-07-25 15:00:126