quartus

阅读 / 问答 / 标签

quartus II 中如何用verilog源文件生成原理图

1、打开quartus II,用verilog源文件,先点击file文件,下来菜单点击create/update。2、然后我们选择右侧的create symbol file for current file生成原理图。3、打开后界面随意右键弹出下来列表,选择insert。4、右边出现选择菜单,点击选择symbol。5、在选择的框中选择点击一个你需要的路径点击即可生成原理图到文件夹。

关于quartus ii的一段英文帮助的翻译

这有点难度 。。加点分更多人来

为什么quartus 生成不了.vhd文件,生成的文件是硬盘映像文件

因为你没有显示后缀名,查看后缀名你就会发现是vhd文件

quartus ii中的dff元件中,prn和clrn引脚的含义?

PRN是异步置位,可以将输出Q置为输入D,CLRN是异步复位,将输出Q置低

quartus ii 10.0中找不到vector waveform file选项,我要进行仿真 应该怎么进行操作?

Quartus II现在推荐用modelsim进行仿真,因为modelsim比较专业,但是testbench编写起来很复杂。

quartusii中fftip的调用怎么生成.v文件

quartus生成.vx文件方法是processing-->start-->starttestbenchtemplatewriterQuartusII是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardware支持DescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

如何verilog 产生一个复位信号,quartus2仿真不对,求指教,

你的quartus什么版本的,这个是测试文件不可综合的,应该用quartus不能仿真吧!一般复位信号指示测试文件的一个部分,没必要写成模块化的

怎样为quartus原理图添加modelsim仿真功能.仅供初哥初姐参考

1步:查阅<<基于模型设计(qsys篇)>>8页,原来要将a家库建在modelsim安装目录中: 我的modelsim给默认装在c:Mentor@Graphics内,在其中建了altera_fang仿真库目录。运行——〉vsim,接着cd C:/MentorGraphics/altera_fang,回车。点菜单File——〉New——〉Library,将上栏默认的work改成primitive注意到modelsim反馈了信息:“# Copying C:MentorGraphicswin32/../modelsim.ini to modelsim.ini”。查看新建库目录,果然多了个modelsim.ini文件。突然想起原来刚读此段时v和vhdl两个库的纠结,果断删除并新建两个子目录altera_fangv,altera_fangvhdl,然后ls一下:ls# altera_fangv/# altera_fangvhdl/# modelsim.ini# primitive/,先编译常用的verilog库:cd altera_fangv,建元素V库后找到quartus库文件藏的目录D:altera11.1quartusedasim_lib:点击编译:依葫芦画瓢,依次建库编译,有点累:altera_mf_v,220model_v,cyclone_v,cycloneii_v,stratix_v,stratixii_v,对应文件名为:altera_mf.v,220model.v,cyclone_atom.v,cycloneii_atom.v,stratix_atom.v,stratixii_atom.v;这些是我买的老板的fpga 型号,现在可能有点古旧了,据传13版就不支持了。然后是关键一步:修改modelsim安装目录下的ini文件,去掉只读属性,添加此a家库进系统库,不重装系统就不用每次忘记添加它了;然后打开modelsim就出来了:谁知一无缝仿真,出现错误: # ** Error: D:/fft3/lpm_mult0.vhd(39): Library altera_mf not found.# ** Error: D:/fft3/lpm_mult0.vhd(40): (vcom-1136) Unknown identifier "altera_mf".# ** Error: D:/fft3/lpm_mult0.vhd(42): VHDL Compiler exiting# ** Error: C:/MentorGraphics/win32/vcom failed.看来从网上下的fft3项目用的lpm是默认的vhdl格式,先记下其参数后,按其原参数重新选用verilog格式的lpm。不报错了。但没出来波形。怎么回事?没有设置测试向量吗?查百度知道,原来:“产生testbench 的步骤: processing -> start -> start testbench template writer 然后quartus II会自动编译生成testbench模板的”我的项目中激励就是个时钟,填写模板就该行了。试试。。。(继续)在经历了夜半3点不眠狂搜加天明闷声狂试后。我投子了,看来quartus真的不能象saber,pspice一样原理图直接仿真,哪怕用modelsim助力:规规矩矩把顶层原理图转乘.v,然后在项目中把原理图换成它,别忘了重新设其为顶层。呜呼。。。才出来波形。a家的软件q东东真让我等初哥寒心啊。两个插曲:1-testbench设置时,注意三个名字可以不同,但第一个名字不要带.vt扩展名;“i1”要加进去。2-由于li¥cense,飘红时,还是把峻a龙的nios行改成你用的ip号行。起码111sp2可用。

modelsim仿真:利用quartus11.0调用modelsim10.1仿真。语言环境:vhdl。出错!

testbench没有写好或者quartus里添加testbench的时候没有设置啊i1是你testbench里对顶层文件实例化的名字,别搞错了

写了一个简易电子琴verilog hdl 代码在QuartusⅡ上编译通过 还写了testbench,但在modelsim上输出却为红线

在检查一遍吧,或许是出了什么问题

quartus 怎么打开testbench

1、打开quartus ii,Tools菜单下Options项,General中EDA Tool Options,指定Modelsim的路径,比如C:altera11.0modelsim_aewin32aloem,或者C:alteraModelsimse10.0bwin642、设计好quartus下的工程后,Processing菜单栏下Start项右侧展开选择“Start TestBench Templates Writer”,就会创建一个testbench的模版。在此基础上修改你所需要的testbench3、testbench设计完后,Assignments菜单下Setting项,左侧栏中找到EDA Tool Settings下的Simulation,在右侧Simulation的设置框中,选择Tool name,到“More EDA Netlist Writer Settings”中,选择“Compile test bench,点击右侧的“Test Benches”,”New“,把testbench文件的module名填入top level项(11.0下;9.0下三项都要补齐,第三项是例化名)。在下面的“Test bench files”中指定你的testbench,模板文件默认是在simulation--modelsim中,后缀是.vt,“Add”进来,一路OK。4、仿真时,“Tools”菜单下“Run EDA Simulation Tool”右侧展开,第一个为功能仿真,第二个为时序仿真。

请问quartus 8.1自带的仿真是不是不需要编写testbench?

不是的,testbench是在start中自动生成一个模板,但是仍需进行简单的进行一下操作 sys_clk = 0; forever #1 sys_clk = ~sys_clk; endinitial begin sys_n = 0; #10; //1000个时间单位后,开始仿真 sys_n = 1; #1000000; //仿真停止时间 $stop; 这是我自己编写的一段仿真程序,加载到initial和end之间就可以了希望可以帮到你

关于Quartus中的test bench的问题。

1、打开quartus ii,Tools菜单下Options项,General中EDA Tool Options,指定Modelsim的路径,比如C:altera11.0modelsim_aewin32aloem,或者C:alteraModelsimse10.0bwin642、设计好quartus下的工程后,Processing菜单栏下Start项右侧展开选择“Start TestBench Templates Writer”,就会创建一个testbench的模版。在此基础上修改你所需要的testbench3、testbench设计完后,Assignments菜单下Setting项,左侧栏中找到EDA Tool Settings下的Simulation,在右侧Simulation的设置框中,选择Tool name,到“More EDA Netlist Writer Settings”中,选择“Compile test bench,点击右侧的“Test Benches”,”New“,把testbench文件的module名填入top level项(11.0下;9.0下三项都要补齐,第三项是例化名)。在下面的“Test bench files”中指定你的testbench,模板文件默认是在simulation--modelsim中,后缀是.vt,“Add”进来,一路OK。4、仿真时,“Tools”菜单下“Run EDA Simulation Tool”右侧展开,第一个为功能仿真,第二个为时序仿真。

quartus13.0中自带的波形仿真和用modelsim仿真有什么区别,然后还有testbench到底是什么?

查阅了一些网络上的资料,基本说法是Quartus II从11.0开始就取消了自带的仿真工具,转而采用第三方仿真工具。个人安装的 13.0(网络版)确实没有自带的仿真工具,但是有提供Modelsim_Altera安装包,一直在用的就是该第三方工具。在11.0之前的版本用过7.0的,其自带的仿真只能通过建立.vwf波形文件的形式完成仿真。Modelsim是专用的仿真工具,跟Quartus II等集成工具的仿真功能相比,功能要强大的多,对于系统函数,debug等所需要的调试手段等的支持要好的多。testbench就是为了完成设计验证所建立的测试平台(或环境),一般包含三个要素:产生激励信号,调用被测试电路,以及对测试电路的输出结果进行比较验证等。它本质上就是HDL代码。

Quartus 分配好的管脚如何重新分配

要是我,就在新建一个工程,然后编译一遍,就能分配了

quartus ii 中 pin planner 里面无法分配管脚

点击图上最左边有2有1那个图标,数字很小

quartus ii中的pin planner里只显示了一个封装图的输入输出是什么原因?

一个嗯,桩头的输入和输出是什么原因呢?如果是显示这个的话,有可能是它的一个性能显示

FPGA quartus中用 pin planner 给管教分配I/O standard?

每个bank可以设置成不同的I/O标准,每个标准有不同的VCCIO。设计硬件的时候,要考虑bank的I/O标准,给相应管脚接入想对应的VCCIO。然后根据硬件电路的I/O标准,你可以在pin planner里面设置引脚的参数,比如:输入、输出、位置、I/O标准等。软件里有相应的下拉选项,你自己进去看一下就知道了。

Quartus II编译时出现错误

时钟CLK0输入给pll1了,就不要再输出给其他模块了。其他模块的时钟用pll1的c0代替。

quartus如何查看消耗的luts

直接编译程序。直接在系统中编译代码程序后,就会出现资源利用与消耗的luts,可以从中看到哪个应用程序消耗得最多。编译后的查看程序不会导致整个系统运行缓慢。

在QUARTUS II中如何用VERILOG 语言生成元件

编译之后 tools里头 netlist view第一个就能看到你的电路

用quartus2编写的程序出现错误 Error (10170): Verilog HDL syntax error at xxxx.v(1) near text ";"; exp

语法有问题,建议把问题代码贴出来

quartus ii 怎样将时钟脉冲调为1khz

兄弟,能否把问题说明得清楚一些!是程序设计还是波形调试的时候!程序就转化时间,调试就修改波形周期就可以了!不知道你说的到底是什么情况下的!希望能帮你解决问题

Quartus 2 、Nios 2 、 DSP Builder、Matlab 是关系?

你好。1 Quartus是Altera 公司的FPGA开发软件,你用它来写硬件描述语言的程序然后生成电路模块,或者画电路原理图,完成理论上的设计。2 在Quartus中,所有器件都是用模块表示的,小到一个非门,大到一个CPU,都是用框图加输入输出引脚描述的。其中,使用Altera技术在FPGA上生成的CPU叫做Nios,目前主流版本是Nios 2。以Nios 2为核心的设计需要用另一个软件,Nios Integrated Design Environment (IDE),与Quartus联用,在这个软件中你可以写C/C++程序在Nios 2上运行。这是Nios 2相当于一个单片机。3 DSP Builder是Matlab中的插件,通过Simulink搭建系统框图,用该插件可直接生成在Quartus中可以引用的模块。4 你当然可以复制个代码改改……秒表实际上用不着Nios 2就可以完成……很多地方都可以下载到……其实真正的工作量不在编写代码上,而在于对这一系列软件的学习过程上。有很多诡异的错误要调试。

nios ii 和 Quartus II 的关系,及版本关系,不明白望指点,有下载地址最好,谢谢

FPGA用的语言verilog和vhdl这二个是主流,是自己专门的语言。nios ii是第二代FPGA。用C语言,方便了代码移植。版本嘛,等级越高越好,因为编译速度将大大的降低时间。目前最高时quartus 10.好像有8G多。http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4252364&bbs_page_no=1&search_mode=1&search_text=quartus&bbs_id=1029

nios与quartus的关系是什么?

nios是一个CPU ,用C语言完成流水灯设计。nios一个固核一般将近要消耗2000逻辑门左右。所以简单的一些控制,就基本不用nios了。而且现在nios搭建的QSYS系统,用起来也不是很爽,现在高端的FPGA也不用nios固核了,而是直接嵌入arm 的cortex A9,这样的硬核。NIOS II也不是第二代FPGA。最先是有一个叫NIOS的CPU软核,可以理解成一个单片机,但是这个单片机不是具体硬件的单片机而是一个用VHDL写的硬件代码。可以直接下载到FPGA里面去,这样FPGA里面就有了一个CPU.(51单片机也是有VHDL代码的,可以移植到FPGA里面去)。然而 FPGA是一个编程硬件的,当里面放了一个NIOS的CPU以后,就可以用C语言来在NIOS CPU上面进行软件开发了。

quartus 运行nios 遇到问题,求解

可能是编译器的问题吧!你安装一个9.0的试试看!

QUARTUS 和NIOS 配合使用是什么意思

没人回答就我来吧。quartus是用来编译HDL进行硬件开发。NIOS II是一个32位的微处理器,下载到FPGA芯片里面之后,FPGA就可以进行软件开发,你说的NIOS 应该说是 NIOS II IDE编译器,就是用来进行软件开发的。

nios与quartus的关系是什么?

nios是一个CPU,用C语言完成流水灯设计。nios一个固核一般将近要消耗2000逻辑门左右。所以简单的一些控制,就基本不用nios了。而且现在nios搭建的QSYS系统,用起来也不是很爽,现在高端的FPGA也不用nios固核了,而是直接嵌入arm的cortexA9,这样的硬核。NIOSII也不是第二代FPGA。最先是有一个叫NIOS的CPU软核,可以理解成一个单片机,但是这个单片机不是具体硬件的单片机而是一个用VHDL写的硬件代码。可以直接下载到FPGA里面去,这样FPGA里面就有了一个CPU.(51单片机也是有VHDL代码的,可以移植到FPGA里面去)。然而FPGA是一个编程硬件的,当里面放了一个NIOS的CPU以后,就可以用C语言来在NIOSCPU上面进行软件开发了。

如何在Quartus II中设置Virtual pin及常见问题

  在编译时会出现类似错误:  Error: Can"t place 108 pins with 2.5 V I/O standard because Fitter has only 81 such free pins available for general purpose I/O placement.  为了避免以上情况的出现,常常使用Virtual Pin对非IO引脚的信号进行约束,经过约束的信号,综合布线器将不对其分配IO资源。  具体方法如下:  在Quartus II中Assignments->Assignment Editor,在Category栏选择logic options,到列表中To列下添加要设置的引脚接口,将Assignment Name设置为Virtual Pin,将Value设置为On,Enabled 设置为Yes, 如果需要设置的很多,可以通过在Pin Planner中将引脚复制过来。  这样设置为Virtual Pin 就不会占用FPGA的IO资源,而且时序仿真不会增加额外的延时,更加准确。  2、用quartus设计框图时出现错误,错误信息如下:  Error: Can"t place 117 pins with LVTTL I/O standard because Fitter has only 85 such free pins available for general purpose I/O placement  Error: Can"t place pins due to device constraints  Error: Can"t fit design in device  Error: Quartus II Fitter was unsuccessful. 3 errors, 0 warnings  Error: Quartus II Full Compilation was unsuccessful. 3 errors, 0 warnings  这个问题我也碰到过,不到10分钟就解决了。  解决方法:  在你开发的时候,你在给芯片指定管脚的时候, 可能因为某些原因删除了一些管脚, 而你在ALL PIN列表中却没有删除,当你继续分配的时候,虽然你实际用到的管脚不到85, 但是你曾经分配的管脚已经有117个了。 所以解决方法很简单,就是在ALL PIN列表中删除未用管脚。  感觉这是QuartsII的一个小bug。  Error: Can"t place 98 pins with 3.3-V LVTTL I/O standard because Fitter has only 80 such free pins available for general purpose I/O placement。  提示信息表明错误: 不能够放置98个3.3LVTTL I?O标准电平引脚,因为Fitter只能有80个空余的引脚用来作为GPIO。 请检查你的工程管理的器件,不能满足你的应用,得更换更多引脚或更高性能的芯片。

关于QuartusⅡ的,就是设置管脚PINS的时候,只出现了ALTERA大芯片的窗口,没有出现有关管脚设置的小窗口?

近 pins后, 在view 在下面的groups list和 all pin list 前边点上黑点

quartus2的ncoip核生成到这卡了

打开任务管理器,将quartus.map文件结束进程就可以了,还有就是确认你的nco的ip是否破解

请问quartus2原理图编辑中如何输入7段数码管,就像74系列的逻辑器件一样,输入型号就可以调用的那样!

在空白处双击鼠标,或右键弹出菜单“insert”,再进入“symbol”。在对话框中选择好库。选择“others”下的“maxplus2”即可,会看到需要的74系列芯片。

quartus13和15的区别

区别:工具类型不同。1、quartus13破解版是一款非常实用的PLD/FPGA开发工具,软件有着功能十分强大的仿真器,能够帮助用户实现各类的原理图、VerilogHDL等多种不同格式的设计文件的导入。2、Quartus15是一款由Altera公司精心打造的专业级PLD/FPGA开发工具,不仅仅具备丰富的器件类型,软件还拥有诸如SignalTapII、ChipEditor和RTLViewer的设计辅助工具,QuartusII15.0软件便捷好用,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面操作。

怎样向quartus2库中添加元件

连好元器件之后运行保存完了就按图片这么做,就可以在元器件中找到了

MAX+PLUS II 与 Quartus II是什么关系

QuartusII和MAX+PLUSII都是Altera公司出品的开发FPGA的工具,早期开发者一般用MAX+PLUSII,MAX最高版本好像是10.0,后来就出品QuartusII了,故可以吧QuartusII看做MAX的升级。目前QuartusII最高版本已经到8.0了。但好像破解这个版本的Crack还没有。一般第一次运行QuartusII的时候,QuartusII都会弹出对话框,问你使用MAX+PLUSII风格的界面还是QuartusII的界面,如果您以前没用过MAX,建议直接用QuartusII的风格。另外,现在好像已经没多少人用MAX+PLUSII了,所以您直接用QuartusII开发FPGA就可以了。

我是Quartus II 9,我同学是Quartus II 7。他用7做了个项目,我用9打开他的bdf文件,连线消失,怎么办?

你最好还是把它的source code及相关配置在9里面新建工程重新综合PAR一下吧,否则不同版本之间调用工程文件可能会有问题的。其实没必要追究这个东西的,Quartus9和Quartus7综合出来的结果都不一定一样,你还追究他为什么不兼容,没意义的

Quartus中,RTL Viewer的作用是什么?

可以通过rtl校验代码的正确性一些容易的代码错误在rtl体现得很明显

Quartus II 9.0中如何在MIF文件中输入初始化数据(十六进制的)?

有答案了吗?我也遇到这个问题

Quartus ii 的 .gdf格式的图形输入文件 怎么 转换成Verilog HDL语言文件。

1.打开原理图文件2.选择File--Creat/Update--creatHDLdesignfilefromcurrentfile3.在弹出的对话框中选择VHDL或VerilogHDL文件

在Quartus2 中TCL脚本编译问题

;ii;

quartus ii 编译问题:在创建波形文件后,加入端口失败 点击list后显示 no matches,怎么办啊?

你图中"Filter"选项中怎么是"h_adder”呀?是你自定制(Customize)的么?有没有拼写不匹配?你把"Filter"选项中选择"Pins: all"试试~

用quartus ii中的lpm_rom输入数据总线时显示inconsistent dimension for element“adress”

你好!总线连接应该是这种方式,见下图:引脚命名方式必须是[7..0]的形式,综合后即可锁定共8个管脚!请采纳哈!!

在quartusII 里program的时候就是找不到USB-blaster啊?只有ethernetblaster。为什么呢?

如果USB-Blaster安装没问题,quratus ii还是驱动有问题,可能是软件版本的问题,有的版本需要打补丁才能检测到驱动。网页链接

quartus的usbblaster驱动怎么装

1.将USB-Blaster插入USB口,系统会提示设备安装不成功,没关系,先不管它;2.进入“开始”菜单,选择“设备和打印机”,3.再双击“USB-Blaser”,下面这个图标是我已经安装成功后的显示图标;4.选择“属性”-“改变设置”-“更新驱动程序”;5.在下图中选择第二项“浏览计算机以查找驱动程序软件”;6.在下图中一定要将驱动程序位置设置为“D:altera90quartusdriversusb-blaster”(我是将quartusII安装在D:盘上的),千万不要设置成“D:altera90quartusdriversusb-blasterx32”或“D:altera90quartusdriversusb-blasterx64”,否则会提示找不到驱动程序。7.后面的工作就按照提示安装就行了,和xp系统差不多,安装完后最好重新启动电脑。

quartus里的程序不能下载到板子上,USB-blaster驱动程序装好了,但是start还是灰色的,不可以用,

您好,这样的情况建议您下载最新版本的驱动精灵,或是直接在线升级一下驱动精灵。希望可以帮到您。

新人求助,Quartus II 11.0 无法检测USB Blaster 求帮助

你需要更新USB-BLASTER的驱动,使用11.1,Quartus目录下的driver更新。 还有更新后可能第一次打开Programmer时会有no hardware的问题,只要等一会再打开HARDWARE Setup就可以了。这个应该是ALTERA更新了BLASTER,而大多数人使用的自制BLASTER与

quartusII软件中,插上usb blaster,安装驱动后,点击add hardware,只有ethernet blaster

应该是你的下载线没有驱动好,具体驱动下载可以从网上下下来,有问题可以追问

quartus2下载时出现NO HARDWARE,但是安装了USB-BLASTER驱动

多关闭打开quartus几次,多拔插几下usb,多试试

如何用Altera Usb Blaster 下载FPGA Quartus程序,Programm里面如何设置

首先,如果是第一次使用需要在电脑上为其安装驱动,在跳出的添加新硬件对话框选择“从列表或指定位置安装”,AlteraUSBBlaster的驱动在Quartus安装目录下有——如[…Quartus安装目录]driverusb-blaster。其次,安装好之后,打开Quartus软件,打开Program界面,单击“HardwareSetup…”,在出现的对话会有出现一个可选的USB-Blaster(在板子上电的情况下),选中它。这样就完成了硬件上的连接。再次,向Program内添加要少些的SOF或POF文件即可,点击Start。在JTAG模式下,一般不用做设置。

在quartus中怎样生成.sdc 文件

quartus不是自动生成的,这个一定要自己生成或者用quartus软件assignment>>timequesttimminganalyzerwizard生成sdc文件

用VHDL语言,在quartus 二软件下,采用硬件是CYCLONE 三系列,外加键盘输入,如何消抖

对输入长时间采样,然后从采样中取几个进行与运算(高电平有效),

cyclone ii已经淘汰了吗?Quartus ii13.1怎么不支持了?

没有淘汰,但cyclone ii系列的,Quartus ii13.1确实不支持了~~

Quartus II 中支持的器件有Stratix,Arria,Cyclone,MAX,Hardcopy等等,请问它们具体包含哪些芯片?

Stratix,Arria,Cyclone,MAX,Hardcopy是芯片系列,Stratix高端FPGA,Arria中端FPGA,Cyclone经济型FPGA,MAX是EPLD系列;包含的芯片对了去了,这个没法说了,有好的系列,例如Cyclone就有1-5,每个系列中还分得很多种;只是软件仿真的话,可以随便选,如果在板子上的话,是什麽芯片,就选什么
 首页 上一页  1 2