es

阅读 / 问答 / 标签

3Dmax scenes路径不存在怎么解决

那是你的安装文件路径发生了改变,所以你的快捷方式肯定是路径不对了。最好的办法就是重装3DMAX!

Unity Scenes显示不出来原因

切换到Unity2018后,打包到Xcode 自己设置的Scence始终显示不出来,最终发现了原因,在打包时Unity2018这里不会自动同步本次选择的Scence 所以需要你自己手动添加Scence才可以解决

在3Dmax在带文件夹scenes中能保存个文件,保存之后就下次打开3Dmax后就是以前调好的设置

你没试试啊,可以呀

收录声历其境音效的神器, SCENES 森声 3D 全景声录音耳机在台推出

随着影音内容成为多媒体创作的主流,录制的声音品质也越来越受重视;永准贸易宣布引进一款独特的收音产品 SCENSE 森声 3D 全景声录音耳机,虽然造型上乍看像是一款耳机,不过实质则是一款可模拟人双耳所听的独特收音装置;且这款产品通过 MFI 认证,可与 iOS 装置相容,另外不需要额外的 app ,只需使用 iOS 的相机程式即可录下宛若实际人尔所听见的声音。 SCENSE 森声 3D全景声录音耳机 iOS 套组建议售价为 2,990 元。 SCENSE 森声 3D 全景声录音耳机以假人头录音为设计出发点,在耳机上内建麦克风,使收音的位置条件宛若人的双耳,再透过独家 HRTF 定位数位演算法,使收录的声音宛若真正的立体环绕声音,不需经过特殊的软体处理,就可录制向是人站在真正的自然空间所听到的声音,且收听者也不需要特殊耳机,仅需要立体声耳机就可听到效果。 耳机采用独特的鲨鱼腮设计耳塞,透过倾斜、多层次的设计,使耳机可稳定的挂在耳上,同时进行现场监听也不用担心被外界噪音干扰。 目前全景声效果可在多个影音平台使用,甚至在 YouTube 与 17 可直接在直播上呈现效果,而 FaceBook 、 Viemo 、天籁 K 歌等则可预先录制后上传,亦可呈现效果。 对 PC 使用者,可额外加购 SCENSE 森声 3D 全景声 PC 数位盒(建议售价 1,490 元),透过 USB 介面搭配电脑可进行更进阶的录制,此外还多了外接耳机的功能,能够提供更弹性的录制方式。 像是想在直播或是表演时让观众感觉像是在你面前与你对话或是聆听你唱歌的效果,可搭配 SCENSE 森声 3D 全景声录音主播支架(建议售价 1,790 元)使用,借由仿假人头设计,不需要真的有一个人坐在表演者对面,就可透过这个支架模拟人头进行收音。

what areo ur lessonson monday

可以这样翻译:周一我们有什么课?

on your knees和kneel有啥区别?

You kneel on your knees(膝盖).你跪下。

scenes 怎么读

[si:n]

commonwealth countries是什么意思

英联邦国家

commonwealthcountriesr指哪些国家

中等富裕国家

lovesong的中文意思是什么

LoveSong-滨崎步的歌词中文意思。没有爱的人生这样没有活下去的自信没有梦想的人生根本不想想象没有歌的人生根本不值一提如果没有不可割舍的回忆就很无趣没有意义不是这样的有想守护的东西吗?如果不能守护会不安吗?正是遍体鳞伤的你,才知道坚强的力量有重要的人吗?有珍惜那个重要的人吗?在失去之前温柔的紧紧抱住看来事情不是简单的如己所愿而运转即使这样,没有不能割舍的回忆就很无趣没有意义没有想过像这样全部...

不锈钢管中的Thickness: SCH 10 对应实际厚度是多少?

Length: 6m 表示 长度6米 OD: 19 - 27 mm Thickness: SCH 10 外径为: 19-27毫米,壁厚为SCH10标准,相对应的 DN15 壁厚2毫米,DN20 壁厚 2毫米, DN25 壁厚2.9毫米 Finish: BA/ 2B Material: 430 材质 不锈钢430 Standard: ASTM ASTM 标准

谁告诉我Mad World / Gary Jules中文歌词表达的什么感情

GJ,是我比较喜欢的一位选手,我最近也在学这首歌,这首歌给我的第一感觉是一种 近乎窒息的绝望。这首歌的走向偏冷色调系,歌词的绝望再加上偏阴暗的曲风,给人一种不快乐的感觉。

One day a man was walking along the beach.He found many starfishes washed up onto the beach全文内容

全文在哪里?

A man goes to a pet shop one day with a big and very ugly dog.这文章谁可以查到,十万火急~~~~~~~~~~~

An old man walked into a pet shop one day with a large and very ugly dog.It had long hair, short legs, no tail and a very wet nose.“Good morning, sir,” the owner of the pet shop said. “How can I help you?”“I want to sell this dog.”The pet shop owner looked at the dog and shook his head.“I"m sorry. I can"t give you anything for that animal. No one will want to buy him.”“Why not?” asked the man. “He"s clean, well-behaved and healthy.”“Look at him, sir,” said the pet shop owner. “He hasn"t got a tail, his legs are too short and his hair"s too long. Who would want to buy such a dog?”“Well, I guess you"re right,” the man said. “But he can talk.”“What do you mean he can talk?” the pet stop owner asked.“Yes, he can speak perfect Mandarin (普通话), different Chinese dialects, English and Japanese as well. Just listen,” the man answered.The dog then spoke.“It"s true, sir,” he said. “I am the world"s greatest talking dog. I"ve been to America and talked to the President Bush at the White House in Washington. I"ve talked to the Queen of England and the Emperor of Japan. Please buy me, sir. This man is very cruel to me. He makes me work too hard and doesn"t feed me very well. He never takes me for a walk or gives me a bath. Sometimes he leaves me alone for weeks. I"m so unhappy, sir. Please buy me and find a good home for me.”The pet shop owner could hardly believe what he was hearing.“That"s amazing ,” he said. “You"re right. He is a talking dog. But tell me, why do you want to sell him?”“Because I"m tired of all his lies,” the man said

A well dressed man goes into

一个穿着讲究的人走进了。。。

Wes Montgomery的《S.O.S》 歌词

歌曲名:S.O.S歌手:Wes Montgomery专辑:Jazz Six Pack09.S.O.S.独自坐在雪柜 挡不到雨水 便是xx各转找到不药水跳入马路里 钻入的士里 望着转动水杯有避难地吗xxxxxx与漫游电话 现在站着英国不禁想回家再遇上暴雨 你话多可怕 避你避到这里也是懦弱吗*平时在笑着看着坐着跳着 原来是我在嗌着救命  呼叫着找医生你吗 他说病人请归家 平时在笑着看着坐着跳着 原来是我在嗌着救命 抢救步队急需你吗 当你密谋得到他夜夜亦是雨夜 都憾称壮举 电视剧集他与他街中玩水往日我共你 也像这一对 学习住进德国 雨又落下吗多一个人 逃避为了留下 就算 我也会吗救命救命救命 他说病人请归家为何没有人救命 谁人又有空救命 这个病人请归家谁人灰旅途索命 谁人没有心救命 给撇下谁也害怕 http://music.baidu.com/song/8113193

at the sales conference , the sales manager put forward a practical plan_______on

A

请用英语回答:What should a busincess plan include?

Business Plan OutlineHere"s an expanded full business plan outline, with details you might want to include in your own business plan.1.0 Executive Summary1.1 Objectives1.2 Mission1.3 Keys to Success2.0 Company Summary2.1 Company Ownership2.2 Company History (for ongoing companies) orStart-up Plan (for new companies)2.3 Company Locations and Facilities3.0 Products and Services3.1 Product and Service Description3.2 Competitive Comparison3.3 Sales Literature3.4 Sourcing and Fulfillment3.5 Technology3.6 Future Products and Services4.0 Market Analysis Summary4.1 Market Segmentation4.2 Target Market Segment Strategy4.2.1 Market Needs4.2.2 Market Trends4.2.3 Market Growth4.3 Industry Analysis4.3.1 Industry Participants4.3.2 Distribution Patterns4.3.3 Competition and Buying Patterns4.3.4 Main Competitors5.0 Strategy and Implementation Summary5.1 Strategy Pyramids5.2 Value Proposition5.3 Competitive Edge5.4 Marketing Strategy5.4.1 Positioning Statements5.4.2 Pricing Strategy5.4.3 Promotion Strategy5.4.4 Distribution Patterns5.4.5 Marketing Programs5.5 Sales Strategy5.5.1 Sales Forecast5.5.2 Sales Programs5.6 Strategic Alliances5.7 Milestones6.0 Web Plan Summary6.1 Website Marketing Strategy6.2 Development Requirements7.0 Management Summary7.1 Organizational Structure7.2 Management Team7.3 Management Team Gaps7.4 Personnel Plan8.0 Financial Plan8.1 Important Assumptions8.2 Key Financial Indicators8.3 Break-even Analysis8.4 Projected Profit and Loss8.5 Projected Cash Flow8.6 Projected Balance Sheet8.7 Business Ratios8.8 Long-term Plan

a well-dressed man完形答案

一位盛装打扮的男士

access数据库里的counter什么意思

access数据库里,设置字段格式的时候,有counter名称的,表示自动编号字段。

Important of the Marketing Strategies for the company

Marketing strategy is a key part of the general corporate strategy A marketing strategy is most effective when it is an integral ponent of corporate strategy, defining how the anization will engage customers, prospects and petitors in the market arena for success. It is partially derived from broader corporate strategies, corporate missions, and corporate goals. They should flow from the firm"s mission statement. They are also influenced by a range of microenvironmental factors. A marketing strategy also serves as the foundation of a marketing plan. A marketing plan contains a set of specific actions required to successfully implement a marketing strategy. For example: "Use a low cost product to attract consumers. Once our anization, via our low cost product, has established a relationship with consumers, our anization will sell additional, higher-margin products and services that enhance the consumer"s interaction with the low-cost product or service." Here are different type of marketing strategies, and it will clearly explain different marketing strategies have different important function on marketing activities: Types of marketing strategies Every marketing strategy is unique, but if we abstract from the individualizing details, each can be reduced into a generic marketing strategy. There are a number of ways of categorizing these generic strategies. A brief description of the most mon categorizing schemes is presented below: Strategies based on market dominance - In this scheme, firms are classified based on their market share or dominance of an industry. Typically there are three types of market dominance strategies: Leader Challenger Follower this strategy base on internal management Porter generic strategies - strategy on the dimensions of strategic scope and strategic strength. Strategic scope refers to the market peration while strategic strength refers to the firm"s sustainable petitive advantage. Cost leadership Product differentiation Market segmentation this strategy apply porter theory to place effort on target market and positioning. Innovation strategies - This deals with the firm"s rate of the new product development and business model innovation. It asks whether the pany is on the cutting edge of technology and business innovation. There are three types: ------Pioneers ------Close followers -------Late followers this strategies production development Growth strategies - In this scheme we ask the question, “How should the firm grow?”. There are a number of different ways of wering that question, but the most mon gives four wers: ----Horizontal integration ----Vertical integration ----Diversification ----Intensification this strategy based on grow of the firm.,参考: wers/topic/marketing-strategy,Hi some quick thought on it: 1) Help pany identify prospective client 2) Able to help pany generate more revenue 3) Improve pany"s efficiency in terms of operation cost 4) Help pany define or find out their position in the market 5) Identify petitor in the market I think best of all...is to generate REVENUE....REVENUE...,

JamesMontgomery是谁

JamesMontgomeryJamesMontgomery是一名演员,主要作品有《捕鼠者》。外文名:JamesMontgomery职业:演员代表作品:《捕鼠者》合作人物:琳恩·拉姆塞电影作品

SCHEDULES是么意思

时间表

— I phoned you yesterday morning. A girl answered, but I didn’t recognize the voice.— Oh, it __

A 试题分析: 句意:—我昨天早上打电话给你。一个女孩接的,但我没听出是谁的声音。—哦,一定是我的小妹妹。她那会正在我的房间。A. 一定是,肯定推测;B. 本应该;C. 可能是;D. 也许是。结合语境,选A。考点: 考查情态动词表推测的用法。

tuneup-uti1ities是什么意思

TuneUp Utilities 是你PC的瑞士军刀——德国系统调校工具第一品牌 TuneUp Utilities,能优化系统性能、解决问题并帮助你定制系统,以满足你的需要!请采纳如果你认可我的回答,敬请及时采纳,~如果你认可我的回答,请及时点击【采纳为满意回答】按钮~~手机提问的朋友在客户端右上角评价点【满意】即可。~你的采纳是我前进的动力~~O(∩_∩)O,记得好评和采纳,互相帮助

tuneup utilities 2012版今天打开出现这样的图片,然后就打不开了,以前还没有问题的,求帮忙!!!

有没有多大用,占不占内存问题补充:用TuneUp Utilities还需不需要用360安全...你好:我用的是TuneUp Utilities 2012,我是设置为开机启动的,并不占用很大...

In The Garden I come to the garden alone While the dew is still on the roses And the voice I hea

这是基督教赞美诗。

tuneuputilities2014安装不了

提示你缺少 TUMFE4D.tmp这个安装数据文件。能找到,就可以继续安装。

开机出现RC410 300/14 CRT+TV TEST BR#12157

I think the msg you are seeing is just the default monitor message when there is no video to display. Here is a list of common beep codes: Are you getting the one long beep at each restart?Beep Code: Description of Problem: No Beeps Short, No power, Bad CPU/MB, Loose Peripherals One Beep Everything is normal and Computer POSTed fine Two Beeps POST/CMOS Error One Long Beep, One Short Beep Motherboard Problem One Long Beep, Two Short Beeps Video Problem One Long Beep, Three Short Beeps Video Problem Three Long Beeps Keyboard Error Repeated Long Beeps Memory Error Continuous Hi-Lo Beeps CPU Overheating

TuneUp Utilities 2007 V6.0.1225 汉化特别版----提示无法安装

提示说你的文件夹路径my pictures包含无效的文件。最好把该软件放到非中文目录里

我照你提供的方法,安装和破解了TuneUp Utilities 2013,但最后打开软件的时候显示如下对话框,怎么回事?

你好,你一定要注意版本的问题。最新版是不能用这个方法的!如果楼主希望真正解决问题,请仔细看参考资料,希望可以帮到你,如果有用,请采纳,谢谢!

我家是电信4M宽带,需要TuneUp Utilities的优化网络吗

不需要。

怎样写testbench

如何编写testbench的总结?1.激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- ports of the specified scope and below, excluding library cellsC -- ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "ams" "amc"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.如果要在ncverilog仿真时,记录信号, 首先要设置debussy:a. setenv LD_LIBRARY_PATH :$LD_LIBRARY_PATH(path for debpli.so file (/share/PLI/nc_xl//nc_loadpli1))b. while invoking ncverilog use the +ncloadpli1 option.ncverilog -f run.f +debug +ncloadpli1=debpli:deb_PLIPtrfsdb数据库文件的记录方法,是使用$fsdbDumpfile和$fsdbDumpvars系统函数,使用方法参见VCD注意: 在用ncverilog的时候,为了正确地记录波形,要使用参数: "+access+rw", 否则没有读写权限在记录信号或者波形时需要指出被记录信号的路径,如:tb.module.u1.clk.………………………………………………………………………………………………………关于信号记录的系统任务的说明:在testbench中使用信号记录的系统任务,就可以将自己需要的部分的结果以及波形文件记录下来(可采用sigalscan工具查看),适用于对较大的系统进行仿真,速度快,优于全局仿真。使用简单,在testbench中添加:initial begin$shm_open("waves.shm");$shm_probe("要记录信号的路径“,”AS“);#10000$shm_close; 即可。4. ncverilog编译的顺序: ncverilog file1 file2 ....有时候这些文件存在依存关系,如在file2中要用到在file1中定义的变量,这时候就要注意其编译的顺序是从后到前,就先编译file2然后才是file2.5. 信号的强制赋值force首先, force语句只能在过程语句中出现,即要在initial 或者 always 中间. 去除force 用 release 语句.initial begin force sig1 = 1"b1; ... ; release sig1; endforce可以对wire赋值,这时整个net都被赋值; 也可以对reg赋值.6.加载测试向量时,避免在时钟的上下沿变化为了模拟真实器件的行为,加载测试向量时,避免在时钟的上下沿变化,而是在时钟的上升沿延时一个时间单位后,加载的测试向量发生变化。如:assign #5 c=a^b……@(posedge clk) #(0.1*`cycle) A=1;******************************************************************************//testbench的波形输出module top;...initialbegin$dumpfile("./top.vcd"); //存储波形的文件名和路径,一般是.vcd格式.$dumpvars(1,top); //存储top这一层的所有信号数据$dumpvars(2,top.u1); //存储top.u1之下两层的所有数据信号(包含top.u1这一层)$dumpvars(3,top.u2); //存储top.u2之下三层的所有数据信号(包含top.u2这一层)$dumpvars(0,top.u3); //存储top.u3之下所有层的所有数据信号endendmodule//产生随机数,seed是种子$random(seed);ex: din <= $random(20);//仿真时间,为unsigned型的64位数据$timeex:...time condition_happen_time;...condition_happen_time = $time;...$monitor($time,"data utput = %d", dout);...//参数parameter para1 = 10,para2 = 20,para3 = 30;//显示任务$display();//监视任务$monitor();//延迟模型specify...//describ pin-to-pin delayendspecifyex:module nand_or(Y,A,B,C);input A,B,C;output Y;AND2 #0.2 (N,A,B);OR2 #0.1 (Y,C,N);specify(A*->Y) = 0.2;(B*->Y) = 0.3;(C*->Y) = 0.1;endspecifyendmodule//时间刻度`timescale 单位时间/时间精确度//文件I/O1.打开文件integer file_id;file_id = fopen("file_path/file_name");2.写入文件//$fmonitor只要有变化就一直记录$fmonitor(file_id, "%format_char", parameter);eg:$fmonitor(file_id, "%m: %t in1=%d o1=%h", $time, in1, o1);//$fwrite需要触发条件才记录$fwrite(file_id, "%format_char", parameter);//$fdisplay需要触发条件才记录$fdisplay(file_id, "%format_char", parameter);$fstrobe();3.读取文件integer file_id;file_id = $fread("file_path/file_name", "r");4.关闭文件$fclose(fjile_id);5.由文件设定存储器初值$readmemh("file_name", memory_name"); //初始化数据为十六进制$readmemb("file_name", memory_name"); //初始化数据为二进制//仿真控制$finish(parameter); //parameter = 0,1,2$stop(parameter);//读入sdf文件$sdf_annotate("sdf_file_name", module_instance, "scale_factors");//module_instance: sdf文件所对应的instance名.//scale_factors:针对timming delay中的最小延时min,典型延迟typ,最大延时max调整延迟参数//generate语句,在Verilog-2001中定义.用于表达重复性动作//必须事先声明genvar类型变量作为generate循环的指标eg:genvar i;generate for(i = 0; i < 4; i = i + 1)beginassign = din[i] = i % 2;endendgenerate//资源共享always @(A or B or C or D)sum = sel ? (A+B):(C+D);//上面例子使用两个加法器和一个MUX,面积大//下面例子使用一个加法器和两个MUX,面积小always @(A or B or C or D)begintmp1 = sel ? A:C;tmp2 = sel ? B:D;endalways @(tmp1 or tmp2)sum = tmp1 + tmp2;******************************************************************************模板:module testbench; //定义一个没有输入输出的modulereg …… //将dut的输入定义为reg类型……wire…… //将dut的输出定义为wire类型……//在这里例化dutinitialbegin…… //在这里添加激励(可以有多个这样的结构)endalways…… //通常在这里定义时钟信号initial//在这里添加比较语句(可选)endinitial//在这里添加输出语句(在屏幕上显示仿真结果)endendmodule一下介绍一些书写Testbench的技巧:1.如果激励中有一些重复的项目,可以考虑将这些语句编写成一个task,这样会给书写和仿真带来很大方便。例如,一个存储器的testbench的激励可以包含write,read等task。2.如果dut中包含双向信号(inout),在编写testbench时要注意。需要一个reg变量来表示其输入,还需要一个wire变量表示其输出。3.如果initial块语句过于复杂,可以考虑将其分为互补相干的几个部分,用数个initial块来描述。在仿真时,这些initial块会并发运行。这样方便阅读和修改。4.每个testbench都最好包含$stop语句,用以指明仿真何时结束。最后提供一个简单的示例(转自Xilinx文档):dut:module shift_reg (clock, reset, load, sel, data, shiftreg);input clock;input reset;input load;input [1:0] sel;input [4:0] data;output [4:0] shiftreg;reg [4:0] shiftreg;always @ (posedge clock)beginif (reset)shiftreg = 0;else if (load)shiftreg = data;elsecase (sel)2"b00 : shiftreg = shiftreg;2"b01 : shiftreg = shiftreg << 1;2"b10 : shiftreg = shiftreg >> 1;default : shiftreg = shiftreg;endcaseendendmoduleTestbench:module testbench; // declare testbench namereg clock;reg load;reg reset; // declaration of signalswire [4:0] shiftreg;reg [4:0] data;reg [1:0] sel;// instantiation of the shift_reg design belowshift_reg dut(.clock (clock),.load (load),.reset (reset),.shiftreg (shiftreg),.data (data),.sel (sel));//this process block sets up the free running clockinitial beginclock = 0;forever #50 clock = ~clock;endinitial begin// this process block specifies the stimulus.reset = 1;data = 5"b00000;load = 0;sel = 2"b00;#200reset = 0;load = 1;#200data = 5"b00001;#100sel = 2"b01;load = 0;#200sel = 2"b10;#1000 $stop;endinitial begin// this process block pipes the ascii results to the//terminal or text editor$timeformat(-9,1,"ns",12);$display(" Time Clk Rst Ld SftRg Data Sel");$monitor("%t %b %b %b %b %b %b", $realtime,clock, reset, load, shiftreg, data, sel);endendmodule

我的TuneUp Utilities 2004怎么不能升级啊?

http://soft.studa.com/downinfo/10974.htmlTuneUp_Utilities_2006_V5.0.2331_汉化版(修正版)(有注册机&注册码) 德国系统调校工具第一品牌 - TuneUp Utilities,继 TuneUp 97 在德国大卖三十几万份之后,再度推出 TuneUp Utilities 企图攻占系统调整工具国际市场!TuneUp Utilities 的功能应有尽有,主要工具包括:系统改造/分析、硬盘/登录文件清理、系统加速、记忆体最佳化、登录文件编辑、软件卸载、工作管理员、文件还原/清理。网络加速优化非常好Name: studaCompany: soft.studa.comCode: 935S7K7338EFQUA3KTQY4VM47PEAKN用它可以彻底删除无用开机自动运行程序安装后选择系统启动管理器就可以解决

test message这个什么意思?

test message1.试验报文2.测试讯号3.测试信息4.短消息test message monitor1.试验电报监控器stm send test message1.发送测试通报sltmsignaling link test message1.信令链路测试通报signaling link test message1.信令链路测试报文

TuneUp Utilities2008无法卸载

用超级兔子卸!实在不行就还原系统或用一键恢复

如何编写testbench的总结

1.激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cellsC -- Ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "AMS" "AMC"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.如果要在ncverilog仿真时,记录信号, 首先要设置debussy:a. setenv LD_LIBRARY_PATH :$LD_LIBRARY_PATH(path for debpli.so file (/share/PLI/nc_xl//nc_loadpli1))b. while invoking ncverilog use the +ncloadpli1 option.ncverilog -f run.f +debug +ncloadpli1=debpli:deb_PLIPtrfsdb数据库文件的记录方法,是使用$fsdbDumpfile和$fsdbDumpvars系统函数,使用方法参见VCD注意: 在用ncverilog的时候,为了正确地记录波形,要使用参数: "+access+rw", 否则没有读写权限在记录信号或者波形时需要指出被记录信号的路径,如:tb.module.u1.clk.………………………………………………………………………………………………………关于信号记录的系统任务的说明:在testbench中使用信号记录的系统任务,就可以将自己需要的部分的结果以及波形文件记录下来(可采用sigalscan工具查看),适用于对较大的系统进行仿真,速度快,优于全局仿真。使用简单,在testbench中添加:initial begin$shm_open("waves.shm");$shm_probe("要记录信号的路径“,”AS“);#10000$shm_close; 即可。4. ncverilog编译的顺序: ncverilog file1 file2 ....有时候这些文件存在依存关系,如在file2中要用到在file1中定义的变量,这时候就要注意其编译的顺序是从后到前,就先编译file2然后才是file2.5. 信号的强制赋值force首先, force语句只能在过程语句中出现,即要在initial 或者 always 中间. 去除force 用 release 语句.initial begin force sig1 = 1"b1; ... ; release sig1; endforce可以对wire赋值,这时整个net都被赋值; 也可以对reg赋值.6.加载测试向量时,避免在时钟的上下沿变化为了模拟真实器件的行为,加载测试向量时,避免在时钟的上下沿变化,而是在时钟的上升沿延时一个时间单位后,加载的测试向量发生变化。如:assign #5 c=a^b……@(posedge clk) #(0.1*`cycle) A=1;******************************************************************************//testbench的波形输出module top;...initialbegin$dumpfile("./top.vcd"); //存储波形的文件名和路径,一般是.vcd格式.$dumpvars(1,top); //存储top这一层的所有信号数据$dumpvars(2,top.u1); //存储top.u1之下两层的所有数据信号(包含top.u1这一层)$dumpvars(3,top.u2); //存储top.u2之下三层的所有数据信号(包含top.u2这一层)$dumpvars(0,top.u3); //存储top.u3之下所有层的所有数据信号endendmodule//产生随机数,seed是种子$random(seed);ex: din <= $random(20);//仿真时间,为unsigned型的64位数据$timeex:...time condition_happen_time;...condition_happen_time = $time;...$monitor($time,"data utput = %d", dout);...//参数parameter para1 = 10,para2 = 20,para3 = 30;//显示任务$display();//监视任务$monitor();//延迟模型specify...//describ pin-to-pin delayendspecifyex:module nand_or(Y,A,B,C);input A,B,C;output Y;AND2 #0.2 (N,A,B);OR2 #0.1 (Y,C,N);specify(A*->Y) = 0.2;(B*->Y) = 0.3;(C*->Y) = 0.1;endspecifyendmodule//时间刻度`timescale 单位时间/时间精确度//文件I/O1.打开文件integer file_id;file_id = fopen("file_path/file_name");2.写入文件//$fmonitor只要有变化就一直记录$fmonitor(file_id, "%format_char", parameter);eg:$fmonitor(file_id, "%m: %t in1=%d o1=%h", $time, in1, o1);//$fwrite需要触发条件才记录$fwrite(file_id, "%format_char", parameter);//$fdisplay需要触发条件才记录$fdisplay(file_id, "%format_char", parameter);$fstrobe();3.读取文件integer file_id;file_id = $fread("file_path/file_name", "r");4.关闭文件$fclose(fjile_id);5.由文件设定存储器初值$readmemh("file_name", memory_name"); //初始化数据为十六进制$readmemb("file_name", memory_name"); //初始化数据为二进制//仿真控制$finish(parameter); //parameter = 0,1,2$stop(parameter);//读入SDF文件$sdf_annotate("sdf_file_name", module_instance, "scale_factors");//module_instance: sdf文件所对应的instance名.//scale_factors:针对timming delay中的最小延时min,典型延迟typ,最大延时max调整延迟参数//generate语句,在Verilog-2001中定义.用于表达重复性动作//必须事先声明genvar类型变量作为generate循环的指标eg:genvar i;generate for(i = 0; i < 4; i = i + 1)beginassign = din[i] = i % 2;endendgenerate//资源共享always @(A or B or C or D)sum = sel ? (A+B):(C+D);//上面例子使用两个加法器和一个MUX,面积大//下面例子使用一个加法器和两个MUX,面积小always @(A or B or C or D)begintmp1 = sel ? A:C;tmp2 = sel ? B:D;endalways @(tmp1 or tmp2)sum = tmp1 + tmp2;******************************************************************************模板:module testbench; //定义一个没有输入输出的modulereg …… //将DUT的输入定义为reg类型……wire…… //将DUT的输出定义为wire类型……//在这里例化DUTinitialbegin…… //在这里添加激励(可以有多个这样的结构)endalways…… //通常在这里定义时钟信号initial//在这里添加比较语句(可选)endinitial//在这里添加输出语句(在屏幕上显示仿真结果)endendmodule一下介绍一些书写Testbench的技巧:1.如果激励中有一些重复的项目,可以考虑将这些语句编写成一个task,这样会给书写和仿真带来很大方便。例如,一个存储器的testbench的激励可以包含write,read等task。2.如果DUT中包含双向信号(inout),在编写testbench时要注意。需要一个reg变量来表示其输入,还需要一个wire变量表示其输出。3.如果initial块语句过于复杂,可以考虑将其分为互补相干的几个部分,用数个initial块来描述。在仿真时,这些initial块会并发运行。这样方便阅读和修改。4.每个testbench都最好包含$stop语句,用以指明仿真何时结束。最后提供一个简单的示例(转自Xilinx文档):DUT:module shift_reg (clock, reset, load, sel, data, shiftreg);input clock;input reset;input load;input [1:0] sel;input [4:0] data;output [4:0] shiftreg;reg [4:0] shiftreg;always @ (posedge clock)beginif (reset)shiftreg = 0;else if (load)shiftreg = data;elsecase (sel)2"b00 : shiftreg = shiftreg;2"b01 : shiftreg = shiftreg << 1;2"b10 : shiftreg = shiftreg >> 1;default : shiftreg = shiftreg;endcaseendendmoduleTestbench:module testbench; // declare testbench namereg clock;reg load;reg reset; // declaration of signalswire [4:0] shiftreg;reg [4:0] data;reg [1:0] sel;// instantiation of the shift_reg design belowshift_reg dut(.clock (clock),.load (load),.reset (reset),.shiftreg (shiftreg),.data (data),.sel (sel));//this process block sets up the free running clockinitial beginclock = 0;forever #50 clock = ~clock;endinitial begin// this process block specifies the stimulus.reset = 1;data = 5"b00000;load = 0;sel = 2"b00;#200reset = 0;load = 1;#200data = 5"b00001;#100sel = 2"b01;load = 0;#200sel = 2"b10;#1000 $stop;endinitial begin// this process block pipes the ASCII results to the//terminal or text editor$timeformat(-9,1,"ns",12);$display(" Time Clk Rst Ld SftRg Data Sel");$monitor("%t %b %b %b %b %b %b", $realtime,clock, reset, load, shiftreg, data, sel);endendmodule

如何编写testbench的总结

1.激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cellsC -- Ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "AMS" "AMC"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.如果要在ncverilog仿真时,记录信号, 首先要设置debussy:a. setenv LD_LIBRARY_PATH :$LD_LIBRARY_PATH(path for debpli.so file (/share/PLI/nc_xl//nc_loadpli1))b. while invoking ncverilog use the +ncloadpli1 option.ncverilog -f run.f +debug +ncloadpli1=debpli:deb_PLIPtrfsdb数据库文件的记录方法,是使用$fsdbDumpfile和$fsdbDumpvars系统函数,使用方法参见VCD注意: 在用ncverilog的时候,为了正确地记录波形,要使用参数: "+access+rw", 否则没有读写权限在记录信号或者波形时需要指出被记录信号的路径,如:tb.module.u1.clk.………………………………………………………………………………………………………关于信号记录的系统任务的说明:在testbench中使用信号记录的系统任务,就可以将自己需要的部分的结果以及波形文件记录下来(可采用sigalscan工具查看),适用于对较大的系统进行仿真,速度快,优于全局仿真。使用简单,在testbench中添加:initial begin$shm_open("waves.shm");$shm_probe("要记录信号的路径“,”AS“);#10000$shm_close; 即可。4. ncverilog编译的顺序: ncverilog file1 file2 ....有时候这些文件存在依存关系,如在file2中要用到在file1中定义的变量,这时候就要注意其编译的顺序是从后到前,就先编译file2然后才是file2.5. 信号的强制赋值force首先, force语句只能在过程语句中出现,即要在initial 或者 always 中间. 去除force 用 release 语句.initial begin force sig1 = 1"b1; ... ; release sig1; endforce可以对wire赋值,这时整个net都被赋值; 也可以对reg赋值.6.加载测试向量时,避免在时钟的上下沿变化为了模拟真实器件的行为,加载测试向量时,避免在时钟的上下沿变化,而是在时钟的上升沿延时一个时间单位后,加载的测试向量发生变化。如:assign #5 c=a^b……@(posedge clk) #(0.1*`cycle) A=1;******************************************************************************//testbench的波形输出module top;...initialbegin$dumpfile("./top.vcd"); //存储波形的文件名和路径,一般是.vcd格式.$dumpvars(1,top); //存储top这一层的所有信号数据$dumpvars(2,top.u1); //存储top.u1之下两层的所有数据信号(包含top.u1这一层)$dumpvars(3,top.u2); //存储top.u2之下三层的所有数据信号(包含top.u2这一层)$dumpvars(0,top.u3); //存储top.u3之下所有层的所有数据信号endendmodule//产生随机数,seed是种子$random(seed);ex: din <= $random(20);//仿真时间,为unsigned型的64位数据$timeex:...time condition_happen_time;...condition_happen_time = $time;...$monitor($time,"data utput = %d", dout);...//参数parameter para1 = 10,para2 = 20,para3 = 30;//显示任务$display();//监视任务$monitor();//延迟模型specify...//describ pin-to-pin delayendspecifyex:module nand_or(Y,A,B,C);input A,B,C;output Y;AND2 #0.2 (N,A,B);OR2 #0.1 (Y,C,N);specify(A*->Y) = 0.2;(B*->Y) = 0.3;(C*->Y) = 0.1;endspecifyendmodule//时间刻度`timescale 单位时间/时间精确度//文件I/O1.打开文件integer file_id;file_id = fopen("file_path/file_name");2.写入文件//$fmonitor只要有变化就一直记录$fmonitor(file_id, "%format_char", parameter);eg:$fmonitor(file_id, "%m: %t in1=%d o1=%h", $time, in1, o1);//$fwrite需要触发条件才记录$fwrite(file_id, "%format_char", parameter);//$fdisplay需要触发条件才记录$fdisplay(file_id, "%format_char", parameter);$fstrobe();3.读取文件integer file_id;file_id = $fread("file_path/file_name", "r");4.关闭文件$fclose(fjile_id);5.由文件设定存储器初值$readmemh("file_name", memory_name"); //初始化数据为十六进制$readmemb("file_name", memory_name"); //初始化数据为二进制//仿真控制$finish(parameter); //parameter = 0,1,2$stop(parameter);//读入SDF文件$sdf_annotate("sdf_file_name", module_instance, "scale_factors");//module_instance: sdf文件所对应的instance名.//scale_factors:针对timming delay中的最小延时min,典型延迟typ,最大延时max调整延迟参数//generate语句,在Verilog-2001中定义.用于表达重复性动作//必须事先声明genvar类型变量作为generate循环的指标eg:genvar i;generate for(i = 0; i < 4; i = i + 1)beginassign = din[i] = i % 2;endendgenerate//资源共享always @(A or B or C or D)sum = sel ? (A+B):(C+D);//上面例子使用两个加法器和一个MUX,面积大//下面例子使用一个加法器和两个MUX,面积小always @(A or B or C or D)begintmp1 = sel ? A:C;tmp2 = sel ? B:D;endalways @(tmp1 or tmp2)sum = tmp1 + tmp2;******************************************************************************模板:module testbench; //定义一个没有输入输出的modulereg …… //将DUT的输入定义为reg类型……wire…… //将DUT的输出定义为wire类型……//在这里例化DUTinitialbegin…… //在这里添加激励(可以有多个这样的结构)endalways…… //通常在这里定义时钟信号initial//在这里添加比较语句(可选)endinitial//在这里添加输出语句(在屏幕上显示仿真结果)endendmodule一下介绍一些书写Testbench的技巧:1.如果激励中有一些重复的项目,可以考虑将这些语句编写成一个task,这样会给书写和仿真带来很大方便。例如,一个存储器的testbench的激励可以包含write,read等task。2.如果DUT中包含双向信号(inout),在编写testbench时要注意。需要一个reg变量来表示其输入,还需要一个wire变量表示其输出。3.如果initial块语句过于复杂,可以考虑将其分为互补相干的几个部分,用数个initial块来描述。在仿真时,这些initial块会并发运行。这样方便阅读和修改。4.每个testbench都最好包含$stop语句,用以指明仿真何时结束。最后提供一个简单的示例(转自Xilinx文档):DUT:module shift_reg (clock, reset, load, sel, data, shiftreg);input clock;input reset;input load;input [1:0] sel;input [4:0] data;output [4:0] shiftreg;reg [4:0] shiftreg;always @ (posedge clock)beginif (reset)shiftreg = 0;else if (load)shiftreg = data;elsecase (sel)2"b00 : shiftreg = shiftreg;2"b01 : shiftreg = shiftreg << 1;2"b10 : shiftreg = shiftreg >> 1;default : shiftreg = shiftreg;endcaseendendmoduleTestbench:module testbench; // declare testbench namereg clock;reg load;reg reset; // declaration of signalswire [4:0] shiftreg;reg [4:0] data;reg [1:0] sel;// instantiation of the shift_reg design belowshift_reg dut(.clock (clock),.load (load),.reset (reset),.shiftreg (shiftreg),.data (data),.sel (sel));//this process block sets up the free running clockinitial beginclock = 0;forever #50 clock = ~clock;endinitial begin// this process block specifies the stimulus.reset = 1;data = 5"b00000;load = 0;sel = 2"b00;#200reset = 0;load = 1;#200data = 5"b00001;#100sel = 2"b01;load = 0;#200sel = 2"b10;#1000 $stop;endinitial begin// this process block pipes the ASCII results to the//terminal or text editor$timeformat(-9,1,"ns",12);$display(" Time Clk Rst Ld SftRg Data Sel");$monitor("%t %b %b %b %b %b %b", $realtime,clock, reset, load, shiftreg, data, sel);endendmodule

the voice of voiceless 是什么意思

沉默之声好像是thesoundofscilence,这个thevoiceofvoiceless叫无言的表达,可能更恰当。

如何编写testbench的总结

您好,激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cellsC -- Ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "AMS" "AMC"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.如果要在ncverilog仿真时,记录信号, 首先要设置debussy:

the middle ages

In what senses the Middle Ages is really “the Dark Ages”, and in what senses it is the transitional period to a new glory of Western culture.

如何编写testbench的总结

相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cellsC -- Ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "AMS" "AMC"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.如果要在ncverilog仿真时,记录信号, 首先要设置debussy:

如何编写testbench的总结

您好,激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cellsC -- Ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "AMS" "AMC"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.如果要在ncverilog仿真时,记录信号, 首先要设置debussy:a. setenv LD_LIBRARY_PATH :$LD_LIBRARY_PATH(path for debpli.so file (/share/PLI/nc_xl//nc_loadpli1))b. while invoking ncverilog use the +ncloadpli1 option.ncverilog -f run.f +debug +ncloadpli1=debpli:deb_PLIPtrfsdb数据库文件的记录方法,是使用$fsdbDumpfile和$fsdbDumpvars系统函数,使用方法参见VCD注意: 在用ncverilog的时候,为了正确地记录波形,要使用参数: "+access+rw", 否则没有读写权限在记录信号或者波形时需要指出被记录信号的路径,如:tb.module.u1.clk。

如何编写testbench的总结

如何编写testbench的总结1.激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cellsC -- Ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "AMS" "AMC"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录

如何编写testbench的总结

您好,激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cellsC -- Ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "AMS" "AMC"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.如果要在ncverilog仿真时,记录信号, 首先要设置debussy:a. setenv LD_LIBRARY_PATH :$LD_LIBRARY_PATH(path for debpli.so file (/share/PLI/nc_xl//nc_loadpli1))b. while invoking ncverilog use the +ncloadpli1 option.ncverilog -f run.f +debug +ncloadpli1=debpli:deb_PLIPtrfsdb数据库文件的记录方法,是使用$fsdbDumpfile和$fsdbDumpvars系统函数,使用方法参见VCD注意: 在用ncverilog的时候,为了正确地记录波形,要使用参数: "+access+rw", 否则没有读写权限在记录信号或者波形时需要指出被记录信号的路径,如:tb.module.u1.clk。

如何编写testbench的总结

您好,激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:0] bi_dir_port;reg [0:0] bi_dir_port_reg;reg bi_dir_port_oe;assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1"bz;用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。往端口写(就是往模块里面输入)方法2:使用force和release语句,这种方法不能准确反映双向端口的信号变化,但这种方法可以反映块内信号的变化。具体如示:module test();wire data_inout;reg data_reg;reg link;#xx; //延时force data_inout=1"bx; //强制作为输入端口...............#xx;release data_inout; //释放输入端口endmodule从文本文件中读取和写入向量1)读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器meminitial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终2)输出文本文件:打开输出文件用?$fopen 例如:integer out_file; // out_file 是一个文件描述,需要定义为 integer类型out_file = $fopen ( " cpu.data " ); // cpu.data 是需要打开的文件,也就是最终的输出文本设计中的信号值可以通过$fmonitor, $fdisplay,2. Verilog和Ncverilog命令使用库文件或库目录ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译3.Verilog Testbench信号记录的系统任务:1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化.ex). $shm_open("waves.shm"); //打开波形数据库$shm_probe(top, "AS"); // set probe on "top",第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cellsC -- Ports of the specified scope and below, including library cellsAS -- Signals of the specified scope and below, excluding library cellsAC -- Signals of the specified scope and below, including library cells还有一个 M ,表示当前scope的memories, 可以跟上面的结合使用, "AM" "AMS" "AMC"什么都不加表示当前scope的ports;$shm_close //关闭数据库2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化.ex). $dumpfile("filename"); //打开数据库$dumpvars(1, top.u1); //scope = top.u1, depth = 1第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope.$dumpvars; //depth = all scope = all$dumpvars(0); //depth = all scope = current$dumpvars(1, top.u1); //depth = 1 scope = top.u1$dumpoff //暂停记录数据改变,信号变化不写入库文件中$dumpon //重新恢复记录3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debussy结合,方便调试.如果要在ncverilog仿真时,记录信号, 首先要设置debussy:a. setenv LD_LIBRARY_PATH :$LD_LIBRARY_PATH(path for debpli.so file (/share/PLI/nc_xl//nc_loadpli1))b. while invoking ncverilog use the +ncloadpli1 option.ncverilog -f run.f +debug +ncloadpli1=debpli:deb_PLIPtr键

self Test ,your monitor is working ,check the video cable and pc

上边的英文是:自我检测,你的监视器在工作,检查你的电线和电脑。建议你重装系统,会吗,不会网上学,各种各样装机方法多的是。推荐你用以林木风纯净版Y6.0ghost一下,10分钟多一点就好.....

德福是testdaf还是dsh?

TestDaf

The Moody Blues的《The Voice》 歌词

歌曲名:The Voice歌手:The Moody Blues专辑:Best Of/20Th CenturyQueensryche - The Voiceam I still alive?I think I"ve got it right.I"m, I"m made of light.Before I leave and go away,I have some things that I must say.Before I am gone.Finally now I see,there"s so much inside of me.Is everyone innocent?The blood on the ground is almost dryI"ll take this chance, I"ll try...I"ll try to tell you...There"s so many possibilities,that I"m just starting to see.It"s like the poets that have always said,when life is hanging from a thread...you can hear that voice in your head.And now my heart"s done bleeding,but I"ve just started seeing.I feel my courage match the tideas I see the other side.When will we hear this voice all the time?http://music.baidu.com/song/8302064

Thinking in JAVA 中,总是出错,static Test monitor = new Test();的错误信息:

工程—右键—property—libraries—Add Libraries—JUnit—选择JUnit 3—finish

the middle ages单复数

第一题rivalries 改成rivalry rivalry是集体名词,表示敌人 还要把a time 变成times times表示时代,是这个用法 第二题改成 militia militia是集体名词 表示 民兵, 而militias 表示各帮民兵

Wednesday is the middle day. 是什么意思?

Wednesday is the middle day意思:星期三是一天中

sql server中的 schemes啥意思

schemes就是属于哪个组。-为登陆账户创建数据库用户(create user),在mydb数据库中的security中的user下可以找到新创建的dbacreate user dba for login dba with default_schema=dbo并指定数据库用户“dba” 的默认 schema 是“dbo”。这意味着 用户“dba” 在执行“select * from t”,实际上执行的是 “select * from dbo.t”。

oracle的v$process里有200多个ORACLE.EXE (SHAD)进程,不断增长,直到到达最大process,怎么回事儿?

又是这个

ich kenne es nicht

Nein 表示否定 不是占位,也不占位;表达否定的nicht占位 举个例子:Ich gehe morgen.表示我明天走. 如果改成:Ich gehe nicht morgen.我不是明天走.(我一定走) 再改成:Ich gehe morgen nicht.我明天不走.(但是我走不走不一定.) 不同的位置,nicht否定的内容不同. 后面 ihn kenne ich nicht.这里没有倒装出现 只是宾语前置 表示强调 这里强调“他” 倒装一般出现在第二虚拟式的情况下 比如一些表达愿望的句子,或者一些假设 比如: Wenn es morgen regnen wuerde,. 倒装成 Wuerde es morgen regnen,.当你看到这样的句子,不要以为它是问句,它是一个倒装 在英语里也有这样的语法,在if的句子,把动词提前,可以省略if,句子的意思不变

schema和tablespace究竟是干什么用的

remap_schema当你从A用户导出的数据,想要导入到B用户中去,就使用这个:remap_schema=A:B remap_tablespace 与上面类似,数据库对象本来存在于tbs_a表空间,现在你不想放那儿了,想换到tbs_b,就用这个remap_tablespace=tbs_a:tbs_b 结果是所有.

TuneUp Utilities 2009问题。高手进。

已经有2011中文版了,怎么还用2009还是英文的http://apps.hi.baidu.com/share/detail/34666868看看这个,有2011的下载和密钥

英语谚语:The voice is the best music 中文翻译是什么?

英语谚语: The voice is the best music 中文意思: 说话声是最好的音乐。 随机推荐10条英文谚语: Many receive advice only the wise profit by it 聆忠言者众,智者独获益。 Many sands will sink a ship 积沙沈船。 Many straws may bind an elephant 草多可缚象。 Many wells many buckets 井多吊桶也多。 Many words cut (or hurt) more than swords 恶语伤人胜刀见。 March es in like a lion and goes out like a lamb 三月来如雄狮,去如绵羊。 Marriaage is the bloom or blight of all men"s happiness 结婚是人生的幸福花朵开放的时候,也可能是凋谢的时候。 Marriage! Nothing else demands so much from a man! 结婚!没有什么比结婚对人要求更多的了。 Marriage es by destiny 姻缘命中定。 Marriage goes by contrasts 夫妻之间难免有差异。 英语谚语: The voice is the best music 中文意思: 说话声是最好的音乐。

在开机自检画面中,会出现“Memory test fail(内存检测失败)”的错误提示信息

CMOS battery failedCMOS电池失效。一般出现这种情况就是说明给主板CMOS供电的电池已经快没电了,需要朋友们及时更换主板电池。 CMOS check sum error-Defaults loadedCMOS执行全部检查时发现错误,要载入系统预设值。一般来说出现这句话有两种解释:一种是说主板CMOS供电电池快要没电了,朋友们可以先换个电池试试看;第二种解释是如果更换电池后问题还是没有解决,那么就说明CMOS RAM可能有问题了,如果主板没过一年的话就可以到经销商处换一块主板,要是过了一年就让经销商送回生产厂家修一下吧!Floppy Disk(s) fail 或 Floppy Disk(s) fail(80) 或Floppy Disk(s) fail(40)无法驱动软盘驱动器。系统提示找不到软驱,首先要看看软驱的电源线和数据线有没有松动或者是接反,最好是是把软驱放到另外一台机子上试一试,如果这些都不行,那么只好再买一个了,好在目前市场中的软驱还不算贵。Hard disk install failure硬盘安装失败。这是因为硬盘的电源线或数据线可能未接好或者硬盘跳线设置不当引起的。朋友们可以检查一下硬盘的各根连线是否插好,看看同一根数据线上的两个硬盘的跳线设置是否一样。如果一样,只要将两个硬盘的跳线设置不一样即可(一个设为Master,另一个设为Slave)。Hard disk(s) diagnosis fail执行硬盘诊断时发生错误。出现这个问题一般就是硬盘内部本身出现硬件故障了,你可以把硬盘放到另一台机子上试一试,如果问题还是没有解决,只能去修一下了。如果硬盘还在包换期内的话,最好还是赶快去换一块!Hardware Monitor found an error,enter POWER MANAGEMENT SETUP for details,Press F1 to continue,DEL to enter SETUP监视功能发现错误,进入POWER MANAGEMENT SETUP查看详细资料,或按F1键继续开机程序,按DEL键进入CMOS设置。现在好一些的主板都具备有硬件的监视功能,用户可以设定主板与CPU的温度监视、电压调整器的电压输出准位监视和对各个风扇转速的监视,当上述监视功能在开机时发觉有异常情况,那么便会出现这段话,这时朋友们可以进入CMOS设置选择POWER MANAGEMENT SETUP,在右面的**Fan Monitor**、**Thermal Monitor**和**Voltage Monitor**查看是哪部分监控发出了异常情况,然后再加以解决。Keyboard error or no keyboard present键盘错误或者未接键盘。检查一下键盘与主板接口是否接好,如果键盘已经接好,那么就是主板键盘口坏了,主板如尚在包修期内,朋友们可以找经销商或主板厂家进行解决。Memory test fail内存检测失败。重新插拔一下内存条,看看是否能解决,出现这种问题一般是因为混插的内存条互相不兼容而引起的,有条件的话去换一条吧!如果使用的只是一根内存条,那么就一定是内存条本身有问题,还是赶快去换一条的好!Override enable-Defaults loaded当前CMOS设定无法启动系统,载入BIOS中的预设值以便启动系统。一般是CMOS内的设定出现错误,朋友们只要进入CMOS设置后选择LOAD SETUP DEFAULTS载入系统原来的设定值然后重新启动即可解决这一问题。Press ESC to skip memory test正在进行内存检查,可按ESC键跳过。这是因为在CMOS内没有设定跳过存储器的第二、三、四次测试,开机就会执行四次内存测试,当然你也可以按ESC键结束内存检查,不过每次开机后都要这样做实在太麻烦了,你可以进入CMOS设置后选择BIOS FEATURES SETUP,将其中的Quick Power On Self Test设为Enabled开启,存储后重新启动即可解决。

prime polished wafer, EPI wafer, Test/Monitor wafer 分别是什么?

Prime wafer是产品级硅片,是生产集成电路或其他半导体产品的硅片。Prime wafer分为两种,抛光片(polished wafer)和外延片(EPI wafer)。抛光片是在硅片抛光,达到很高平坦度,但缺陷和杂质距离生产高端产品还有距离。这种硅片一般500元人民币一片EPI wafer是外延片,是在抛光片的基础上沉积一层外延层,一般几个微米厚。这个厚度足够制作器件。同时这个外延层中杂质和晶格缺陷都更少,掺杂浓度也更精确,因此可以生产高端产品。这种片子估计一片600元吧。Test wafer和Monitor wafer是一种硅片的不同用途。这种硅片缺陷更多,掺杂波动范围更大,杂质也更多总之,就是次一个档次的硅片,一般200元一片。工程师做实验时多数用Test wafer,够用,又便宜。设备做点检时就用点检晶圆(Monitor wafer)。这两种情况完全可以用Polish wafer甚至是EPIwafer,但就是贵,浪费钱,没必要。

ORA-04063: view "TEST.MONITOR_SECTION_STATUS" 有错误 请问这是为什么呢,是什么原因呢?谢谢大虾们!!

错误为TEST.MONITOR_SECTION_STATUS这个视图没有被授权。解决办法是给TEST.MONITOR_SECTION_STATUS授权

Turn up. Present. Attend. 在出席出现的区别

turn up 为不及物动词短语,表示出席时,在句中常充当谓语成分。present 表示出席时,为形容词,前应有 be 动词才可构成句子的谓语成分。attend 为一个及物动词,后可直接跟宾语,充当谓语成分。如:attend the meeting 等。

the Middle Ages是什么意思

the Middle Ages中世纪

iOS应用间通信:URL Schemes

URL用于定位资源,譬如网络资源。以下面的URL为例: 根据 RFC1808 标准,其包含如下组成部分: iOS中,你可以为自己的应用定义URL schemes,供外界调用。URL格式必须符合标准(即能够通过 NSURL 解析)。 总体来说,URL schemes可划分为两类:系统定义&自定义。 有些系统应用天生支持URL schemes,例如电话,邮件,短信,Safari,地图等。 更多关于系统定义的URL schemes的信息,详见官方文档 Apple URL Scheme Reference 。 调用URL scheme其实很简单,分为两步: 注意事项: 打开URL的方法如下: 自定义URL schemes也可以分为两步: iOS以URL type为单位管理URL schemes。一个type下可以有多个scheme,但一个scheme只对应一个type。注册URL schemes,实际上是注册URL type。 在 Info.plist 中添加键值对 CFBundleURLTypes ,其对应一个数组,每个元素都是一个字典,代表一个type。例如: 一个URL type字典包含如下键值对: 更多关于CFBundleURLTypes的信息,详见Information Property List Key Reference中章节 CFBundleURLTypes 的叙述。 此外,还可以针对scheme定义启动图片。众所周知,app启动时会显示图片。如果app因为响应某个scheme而启动,可以根据scheme定义图片。图片命名格式如下: 更多关于URL scheme启动图片的信息,详见App Programming Guide for iOS中章节 Displaying a Custom Launch Image When a URL is Opened 的叙述。 收到调用请求后,相应的UIApplication代理方法会被调用,所以这里也是处理逻辑的所在: 注意事项: 被调用时,app可能处于下列状态之一: D.2.2.1 app未运行时被调用 app先启动,再处理请求,但受到下面方法影响: D.2.2.1 app运行中被调用 app 必定 会处理请求,进入前台(即方法 application:openURL:options: 一定会被调用); UIApplication方法 canOpenURL: 可以判断当前设备上是否有能够响应特定URL的应用。 于是乎,有人利用这个方法过滤大量scheme,判断设备上安装了哪些应用。为防止滥用,自iOS9,Apple要求这个方法只能检测特定名单内的scheme(当然,系统定义的scheme不在此列),开发者需要通过键值对 LSApplicationQueriesSchemes 在 Info.plist 中定义这个名单。例如: 另外,还要注意: 更多关于LSApplicationQueriesSchemes的信息,详见Information Property List Key Reference中章节 LSApplicationQueriesSchemes 的叙述。

resume scheme sketch 三个词做概述讲时有神马区别?先谢谢走过的路过的各路神仙,给妹妹解答下吧……

跪求一c好听,不g俗得名字,姓“林”,现在户3口b要三b个q以2上j得字,麻烦各路神仙前来指点 “采薇”这个w名字直接取名于m《诗经》小b雅中6的《采薇》篇,小v名“思霏”则取名于o其中2的千m古名句“昔我往矣,杨柳依依。今0我来思,雨雪霏霏”。通过以6上w读《采薇》篇及m其代表性名句的解读,我们可以4清楚的知道“采薇”一a名和“思霏”小z名寄寓的对宝宝日3后能爱国爱家、重情重义l、热爱生命、珍惜幸福、向往和平而又r富于s责任感勇于v担当的美好祝愿。更妙的是,宝宝的大o名“采薇”和小c名“思霏”关系密切4,相互3呼应,浑然一x体而各得益彰。 ? gbaㄛohⅩk╀

诺基亚测试软件(Nokia Monitor Test)为什么不支持WIN7,64位系统

这个 DisplayX 支持64位

去哪里能下载到能兼容WIN7的Nokia Monitor Test ?

给个邮箱发你。 前两天刚发了一个。nokia monitor test有几个版本的呀,有毒吗?_百度知道http://zhidao.baidu.com/question/314624100.html

nokia monitor test有几个版本的呀,有毒吗?

用的578k的中文版,无毒。1、没有显示关于版本的,其实能用就行。2、病毒,最主要看你从什么网站下载,如果放心的话就发个邮箱给我,回头把我的那个发你。

nokia monitor test是不是不能在64位系统下用

你好,爱应用-WPer【L_F】为您解答:可以的,不管是32位还是64位的系统都可以使用。爱应用旗下资深团队为您解答,如果对你有所帮助,记得采纳哦!

急求高一英语必修三Unit1 festivals around the world的原文

必修3 unit1Festivals and celebrations Festivals and celebrations of all kinds have been held everywhere since ancient times. Most ancient festivals would celebrate the end of cold weather, planting in spring and harvest in autumn. Sometimes celebrations would be held after hunters had caught animals. At that time people would starve if food was difficult to find, especially during the cold winter months. Today"s festivals have many origins, some religious, some seasonal, and some for special people or events.Festivals of the Dead Some festivals are held to honour the dead or to satisfy the ancestors, who might return either to help or to do harm. For the Japanese festival Obon, people should go to clean graves and light incense in memory of their ancestors. They also light lamps and play music because they think that this will lead the ancestors back to earth. In Mexico, people celebrate the Day of the Dead in early November. On this important feast day, people eat food in the shape of skulls and cakes with "bones" on them. They offer food, flowers and gifts to the dead. The Western holiday Halloween also had its origin in old beliefs about the return of the spirits of dead people. It is now a children"s festival, when they can dress up and go to their neighbours" homes to ask for sweets. If the neighbours do not give any sweets, the children might play a trick on them.Festivals to Honour People Festivals can also be held to honour famous people. The Dragon Boat Festival in China honours the famous ancient poet, Qu Yuan.In the USA, Columbus Day is in memory of the arrival of Christopher Columbus in the New World. India has a national festival on October 2 to honour Mohandas Gandhi, the leader who helped gain India"s independence from Britain.Harvest Festivals Harvest and Thanksgiving festivals can be very happy events. People are grateful because their food is gathered for the winter and the agricultural work is over. In European ountries, people will usually decorate churches and town halls with flowers and fruit, and will get together to have meals. Some people might win awards for their farm produce, like the biggest watermelon or the most handsome rooster. China and Japan have mid-autumn festivals, when people admire the moon and in China, enjoy mooncakes. Spring Festivals The most energetic and important festivals are the ones that look forward to the end of winter and to the coming of spring. At the Spring Festival in China, people eat dumplings, fish and meat and may give children lucky money in red paper. There are dragon dances and carnivals, and families celebrate the Lunar New Year together. Some Western countries have very exciting carnivals, which take place forty days before Easter, usually in February. These carnivals might include parades, dancing in the streets day and night, loud music and colourful clothing of all kinds. Easter is an important religious and social festival for Christians around the world. It celebrates the return of Jesus from the dead and the coming of spring and new life. Japan"s Cherry Blossom Festival happens a little later. The country, covered with cherry tree flowers, looks as though it is covered with pink snow. People love to get together to eat, drink and have fun with each other. Festivals let us enjoy life, be proud of our customs and forget our work for a little while.

The Moody Blues的《Blue World》 歌词

歌曲名:Blue World歌手:The Moody Blues专辑:The Present (Bonus Track Version) [Remastered]Heart and soul took controlTook control of mePaid my dues spread the newsHands across the seaPut me down turned me roundTurned me round to seeMarble halls open doorsSomeone found the keyAnd it"s only what you doThat keeps coming back on youAnd it"s only what you sayThat can give yourself awayUnderground sight and soundhuman SymphonyHeard the voice had no choiceneeded to be freeFly me high touch the skyLeft the earth belowHeard the line saw the signKnew which way to goCos it"s easier to tryThan to prove it can"t be doneAnd it"s easier to stayThan to turn around and runIt"s a blue worldIt takes somebody to help somebodyOh it"s a blue worldIt"s a new worldIt needs somebody to love somebodyOh it"s a blue worldHeart and soul took controlTook control of mePaid my dues spread the newsHands across the seaPut me down turned me roundTurned me round to seeMarble halls open doorsSomeone found the keyAnd it"s only what you doThat keeps coming back on youAnd it"s only what you sayThat can give yourself awayCos it"s easier to tryThan to prove it can"t be doneAnd it"s easier to stayThan to turn around and runIt"s a blue worldIt takes somebody to help somebodyOh it"s a blue worldIt"s a new worldIt needs somebody to love somebodyOh it"s a blue worldIt"s a blue worldIt takes somebody to help somebodyOh it"s a blue worldIt"s a new worldIt needs somebody to love somebodyOh it"s a blue worldhttp://music.baidu.com/song/17779542

The New World Orchestra的《Braveheart》 歌词

歌曲名:Braveheart歌手:The New World Orchestra专辑:Commando: War ThemesBrave Heart「シャーマンキング」挿入歌歌:林原めぐみ词:MEGUMI 曲:太田美知彦编曲:十川知司まだ见果てぬ先に浮かんでは消える幻をこの手にする为瞳そらさずに暗闇の向こうに一筋の光を信じて分かち合う力今解き放とう受け継いだ命の奥で愤っている守るべきモノたちへ誓いをたてて风より速く 君の心へすべり込んで根こそぎ包みたい空より苍く すんだ瞳が见つめる全てを今感じたい缲り返される 戦いの果て信じるものがたとえ揺らいでも力の全て ぶつかりあって生まれる爱もあると信じたい(祝所有喜欢shaman king的朋友快乐每一天哦~)远く辉く星たとえ命が尽きていても永いときをへて梦を运んでくとまどいも不安もあふれ出す涙さえ爱すべきモノたちへの誓いとなれ波が激しく 砂をさらって全てをかき消す程に雄雄しく月が优しく 姿を変えて语りかける歴史をふり返る巻き戻せない时代の流れに流されて 逆らって 伤ついて希望の全て砕け散っても生まれる梦があると信じたい风より速く 君の心へすべり込んで根こそぎ包みたい空より苍く すんだ瞳が见つめる全てを今感じたい缲り返される 戦いの果て信じるものがたとえ揺らいでも力の全て ぶつかりあって生まれる爱もあると信じたいhttp://music.baidu.com/song/8943827

course与lesson,program如何区别

course是一门课程。比如说语文,数学。 lesson是一节课,更准确的说,是一节课的内容。program是只表演的节目

英文中"course"和"lesson"的区别在哪?

class是最口语化的课,一般意义上的上课take class,have class而course是课程,更具体也更正式,比如你在大学是读些什么课程的就要用course而lesson与class基本相同,有些时候可以互换,但是前class侧重于课业的形式,而lesson侧重于课业的内容。比如说:“I have two classes this afternoon.”时,讲话人所强调的是“两个45分钟”的课业形式,而当他说:“I have two lessons this afternoon.”时,则着重强调“两个学科”的课业内容。curriculum则侧重于总体学科,是一个概括性的词汇

in 1492,Columbus and his crew arrived ___was so called the New World by the westerners.

不能,答案是:in what.解释:1. 根据句意和结构可知,what was so called the New World by the westerners为介词in的宾语,即宾语从句。arrive是不及物动词,不能直接加宾语(从句),所以必须先加一个介词in(the New World为大地方,所以用in最合适)。2. 该宾语从句明显缺少表示事物sth的主语,所以用what代替并引导此从句。which一般用于定语从句,但是此时从句前面没有表示地方的名词/代词即没有先行词,排除;which如果引导宾语从句,则其意思是“哪一个/些”,则该从句前后必须有限定的范围方可,排除。如:I wonder which you like best of all these colors. 其中of all these colors即为限定范围。

My Summer English Courses的英语作文

My Summer English CoursesEnglish is my favorite subject and I like to look for some extra books to read. In order to improve my English to a higher level, I had decided to take part in a summer English course, which took part of my summer holiday time. The main subject of the summer English course was British and American literature, introducing us a varity of Brithsh and American writers along with their selected readings. It"s no doubt that in British literature William Shakespeare enjoys the highest reputation. He wrote many classical works and imposed great influence upon writers of later generations. His famous sad love story Romeo and Juliet is one of my favorite. Moved by their pure love, I feel very soory for them for not living together happily ever after.There were also many other interesting works, and I thought there were still a lot that I need to learn. The summer English courses helped me very much in improving my English.

liberal arts courses是什么意思

liberal arts courses 全部释义和例句>>文科课程liberal arts courses 全部释义和例句>>文科课程

将take courses翻译成中文意思

take courses 上课

以onlinecourses为题写作文

1. 请以reading online为题写一篇作文 Reading online As the fast development of the technology, people use puter every day, it has bee part of their life. With puter, people can do a lot of things, such as reading news, making friends and so on. The online-reading is more and more popular, because it has many advantages. First, online-reading is a flexible way. The traditional way of study is to sit on the classroom, but now, people can sit at home, or sit at the coffee shop, they can have access to the knowledge. It is convenient and efficient, people can learn if they want, there is no need to worry about the location. Second, online-reading can save a lot of money. When people want to take the course, they have to hand in a lot of money, buying books, paying for the teachers. While study online can skip over these unnecessary stuff, people can listen to the course immediately, they just need to click on the button. How fast it is, people can save money and also gain knowledge. Online-reading is a new way for gaining knowledge, online courses are flexible, cheap and saving time. With these, I believe online study will bee more popular in the future. 2. 请以 Going Online 为题写一篇英文作文 Recently going online has bee more and more popular with middle school students . In my opinion , there are some merits for the students to go online . First , it can broaden our knowledge and make us know the latest news at home and abroad . Second , there are many learning material on the Inter which are useful for us to learn English . Also , we can make many online friends . However , there are some drawbacks . Most students play conputer games instead of studying their lessons , which will affect their study . What`s worse , going online too often can do harm to our eyes . Besides , without much experience , it`s easy for us to make bad friends and be influenced to do stupid things . So I think as students , we can go online properly . We shuold choose things which are valuable to our study and life . What`s more , we shuold have a time limit . We must place study , health and safety before other things . 3. 以The Advantages of Taking English Courses为题写一篇100词左右的 The English language is one of the most widely spoken languages in the world,and it is the official language of many countries. 英语是全世界使用范围最广的语言之一,也是很多国家的官方语言. English is used almost everywhere. 几乎全世界任何地方都使用英语. The ability to fluently speak the English language in addition to your native language can be beneficial if you"re seeking job opportunities with international panies. 如果你能流利使用英语和你的母语,这会提高你被国际公司录用的可能性. Major Hollywood movies have dialogue in English.The plot of these movies is easier to follow if the person watching the movie speaks English. 大部分好莱坞电影讲的都是英语.如果看电影的人会讲英语,那么他就能很容易跟着剧情. The English language is predominantly spoken throughout the world,so international travelers may find that speaking English can make their travels a little easier.Most hotel and restaurant employees,as well as store merchants,probably speak English to some degree. 现在英语是世界上使用的主流语言,所以你会讲英语,出国旅游讲会变的很容易.大多数的旅馆、饭店等都是用英语进行交流的. 4. 以shopping online为主题写一篇文章 您好,以下为本人按题目要求写的英语作文供参考,希望对您有所帮助: Shopping Online It is known that with the rapid development of information technology, inter and electronic merce is getting more and more popular in our daily lives. Currently there is an ongoing discussion about whether we should go shopping online. Personally I believe that by making good use of online shopping, we can get various products that are possibly not available in a traditional store. By browsing the products online, we can easily and conveniently choose the ones we like and get them paid and delivered without going out of room. In addition, the products are always cheaper than the ones in a traditional store. However, the disadvantage of online shopping is that sometimes we may not actually see the products until we receive them, which I think we may have the chance to buy something inferior. Although sometimes there may be potential risks for online shopping, there is no doubt that it is of great benefit and convenience for us to go shopping online. 5. 以“travelling online”为题写一篇字数80~90词的作文,急 "People who like travelling have their reasons. They maintain that travelling can help them expand their scope of knowledge, especially geographical and historical learning. They go on to point out that touring will provide more chance for them to enjoy food and try on clothes that they otherwise cannot possibly have. Those who dislike travelling have their reasons. They would argue that travelling means a considerable amount of money and energy. For example, traffic and acmodation require money walking while seeing sights often tires you. In practice, travelling does more good than harm. If you finance and health permit, you might as well do some travelling from time to time. It will at least enable you to get familiar with people and thing that you will probably grow to like and love." 6. 请教关于online courses的问题 请跟你的目标读研大学确认他们是否承认远程教育的学分online credits(或者让他们直接推荐提供在线课程学校),社区大学不一定会有distance course, 你可以找专业提供这类课程的机构。 这个学校Harvard Extension School你可以看看(对英语要求比较高,雅思7.0 托福100).:(Harvard Extension School courses are accepted toward degrees at most colleges and universities. Because transfer policies and degree requirements vary among schools, students should confirm their home or prospective schools" policy about transfer credit before enrolling in courses。成绩单上不注明是远程教育The transcript does not specify if a course is pleted via distance education or not。 外国学生可以申请,用visa卡付款即可。 考核方式可能有 每周作业,测验exam, 报告paper等,视频通常会在一个学期内有效,可以凭账号登陆后无限次观看。作业和考试根据学校的时间安排进行,各个学校的补考政策不一样,基本补考都要收费,能补考多少次就得看学校了,如果补考超过规定次数还是不及格,就只能全额交费重读。 授课模式大致有两种video 和 web conference, 对电脑的操作系统,使用的浏览器或者播放器,还有视频软件会有一定要求。 Video courses 视频(有的时候可以同步观看-可参与,有的时候是24小时内放上网) Most of our distance education courses feature videos of faculty lecturing on campus. You watch each week"s online lecture at your convenience, submitting assignments as scheduled. In some cases, classes meeting on campus are broadcast live; check course syllabi or websites to see if you can watch videos live during class meeting times and to learn if live participation is required. Live web-conference courses 在线会议模式(可参与,定时举行) These courses are conducted live at a scheduled time each week. Instead of watching videos, you log in to a virtual classroom to participate. 7. 以Shopping online为题写一篇英语作文 Shopping online As a result of the increased inter munication, online shopping has been an indispensable part in the modern life today. However some people hold disapproval attitudes towards this thing. In my opinion, it both has positive and negative aspects, just as the proverb goes like this: every coin has o sides. When it es to positive aspects, it"s very convenient and time-saving pared with traditional shopping. What you need to do is just clicking your mouse and waiting instead of going out by foot or driving. Moreover, more choices than real store are another attraction to customers. However, in spite of convenience and more choices of online shopping, we cannot turn a blind eye to its advantages. Obviously, quality problem is its first advantage. It"s mon that articles aren"t so good just as they are described online that customers always buy fake modities. What"s more, it"s troublesome and annoying for many customers to make a change when they are not satisfied with what they bought online. To make a better environment for shopping on-line, effective measures should be taken to make it better. Specifically speaking, government should work out strict regulations and rules to prevent unfaithful and unlawful activities of online shopping owners. Only by this way can online shopping bee really safe and attract an increasing number of customers 8. 谁现在能帮我写篇作文~~ "online learning" ~~字数100 The Inter as a Beneficial Learning Tool for Students The Inter, monly referred to as the “information superhighway,” is a tool that has been introduced to classrooms around the world because of its popularity, which has been gaining steadily in the past years. The Inter is a neork of puters in which users can share files and plete many other tasks. Many people and groups have voiced concerns of whether the Inter"s benefits in classroom and educational use oueigh the negative effects. From recent studies and personal experiences, I have e to the decision that the benefits certainly oueigh the negative effects. I believe that the students who use information technology such as the Inter regularly in their schoolwork are benefiting greatly rather than losing out。

Graduate Courses和 Undergraduate Courses分别是什么意思,有区别吗?

graduate courses:研究生课程undergraduate courses:大学课程
 首页 上一页  535 536 537 538 539 540 541 542 543 544 545  下一页  尾页