barriers / 阅读 / 详情

Nios II出现Timestamp不match的错误怎么办

2023-07-14 12:18:27
共1条回复
马老四

有时候Nios II会出现以下恼人的错误讯息:

Using cable "USB-Blaster [USB-0]", device 1, instance

0x00

Pausing target processor: OK

Reading System ID at address

0x01101038:

ID value does not match:

read 0xFFFFFFFF; expected 0x4AE5D5A2

Timestamp value does not

match: image on board is older than expected

Read timestamp

7:59:59 1970/01/01; expected 18:41:20

2008/05/27

The software you are downloading may not run on the system

which is currently

configured into the device. Please download

the correct SOF or recompile.

Restarting target processor

出现这个错误讯息的原因是你Nios II软体编译所用的.sof,与你刚刚烧进DE2的.sof是不同一份。

若出现以下讯息,请依照以下方式检查

Step 1:

你用的Quartus II硬体.sof档,和Nios

II软体所用的.sof是不是为同一份,这是很容易犯的错误。尤其若你用的是Quartus II Web

Edition,会产生_time_limited.sof,而非原本project名称的.sof,但因为ptf对应的是_time_limited.sof,所以一不小心就可能烧错.sof。

若不成功,请试试Step 2。

Step 2:

将DE2 reference design的DE2_NIOS.sof烧进DE2,用Hello World project

template,成功後,再将自己project的.sof烧进去。详细原因不知,很可能是DE2版子的cache造成误判。

若不成功,请试试step 3。

Step 3:

将DE2电源off,然後重新on,先将你的Nios II的软体C语言程式备份,删除所有Nios II

project,将SOPC Builder重新generate .ptf,将Quartus II重新compile

.sof,然後重新建立Nios II project,将备份的C语言程式码还原。

Conclusion

这是Nios II的bug,常常会出现,目前我用的Quartus II 7.2

SP1,或许更新的版本有改进,不过Altera的工具常常大改,经常听到原来Quartus II 6.1与Quartus II

7.1所写的程式,在Quartus II 7.2无法编译,所以若你打算更新Quartus

II版本,或许你要慎重考虑相容性问题。

相关推荐

nios与quartus的关系是什么?

nios是一个CPU,用C语言完成流水灯设计。nios一个固核一般将近要消耗2000逻辑门左右。所以简单的一些控制,就基本不用nios了。而且现在nios搭建的QSYS系统,用起来也不是很爽,现在高端的FPGA也不用nios固核了,而是直接嵌入arm的cortexA9,这样的硬核。NIOSII也不是第二代FPGA。最先是有一个叫NIOS的CPU软核,可以理解成一个单片机,但是这个单片机不是具体硬件的单片机而是一个用VHDL写的硬件代码。可以直接下载到FPGA里面去,这样FPGA里面就有了一个CPU.(51单片机也是有VHDL代码的,可以移植到FPGA里面去)。然而FPGA是一个编程硬件的,当里面放了一个NIOS的CPU以后,就可以用C语言来在NIOSCPU上面进行软件开发了。
2023-07-14 01:07:571

nios是什么

Nios处理器是ALtera公司推出的32/16位精简指令集处理器软核,在ALtera公司提供的软件SOPC中加载Nios核和响应的外围接口以及定义死亡自定义指令,然后对设计进行综合候下载到FPGA中就可以方便地设计一个具有特定功能地嵌入式处理器.
2023-07-14 01:08:041

NIOS嵌入式处理器的特性说明

设计流程及工具硬件开发工具 本页面详列了搭建Nios II处理器硬件系统所用到的开发工具。软件开发工具 本页面提供了Nios II集成开发环境(IDE)的相关信息,这是一种开发人员广泛应用的,包含编辑、编译和调试应用软件等功能的集成开发环境。开发套件 Altera及其合作伙伴提供了大量应用了NiosII系列嵌入式处理器的开发板套件。系统级设计流程 Altera的SOPC Builder工具提供了快速搭建SOPC系统的能力,这种架构可以是包含一个或几个CPU,提供存储器接口,外围设备和系统互连逻辑的复杂系统。构架及特性Nios II处理器核 Nios II处理器系列由三个不同的内核组成,可以灵活地控制成本和性能,从而拥有广泛的应用空间。JTAG调试模块 JTAG调试模块提供了通过远端PC主机实现Nios II处理器的在芯片控制、调试和通讯功能,这是Nios II处理器的一个极具竞争力的特性。用户指令 开发人员可以在Nios II CPU 核内增加硬件,用以执行复杂运算任务,为时序要求紧张的软件提供加速算法。外围设备及接口 Nios II开发套件包括一套标准外围设备库,在Altera的FPGA中可以免费使用。Avalonu2122交换式总线 Avalon交换式总线在处理器、外围设备和接口电路之间实现网络连接,并提供高带宽数据路径、多路和实时处理能力。Avalon交换式总线可以通过调用SOPC Builder设计软件自动生成。设计资源Nios II处理器支持 Nios II处理器支持页面提供了对Nios II 设计者有帮助的多种信息,其中包括使用许可、下载、参考设计、文档资料、在线展示及常见问题。嵌入式处理器方案中心 嵌入式处理器方案中心提供了大量的信息以帮助开发人员应用Altera的嵌入式处理器实现系统设计。可获取的信息有器件支持、软件开发工具,外围设备及接口、培训、技术支持和资料。Nios续订信息 Nios II开发套件包括一年期的CPU、外设和嵌入式软件开发工具的升级许可。(其中不包括Quartus® II软件的升级。)客户可以通过Nios续订程序每年订购包括Nios II 处理器的升级等额外信息。Nios II嵌入式处理器问与答页面 此页提供Altera Nios II系列嵌入式处理器的常见问题及解答。Stratix® II器件及Nios II处理器系列 Stratix II器件结构的优异特性和Nios II嵌入式处理器系列相结合,提供了无与伦比的处理能力,满足网络、通信、数据信号处理(DSP)应用、海量存储及其他高带宽系统的应用需求。Stratix器件及Nios II处理器系列 Stratix FPGA结构的优异特性和Nios II嵌入式处理器相结合,提供了很高的处理能力,满足高带宽系统应用需求。Cycloneu2122器件及Nios II处理器系列 在Cyclone器件中应用Nios II嵌入式处理器系列,降低了成本,提高了灵活性,在价格敏感应用环境中给低成本分立式微处理器提供了一个理想的替代品。在HardCopy II结构化ASIC中实现Nios II处理器Nios® II系列嵌入式处理器具有三个处理器内核,可实现较大范围的嵌入式处理应用。这些软IP处理器内核可以工作在任何最新一代Altera® FPGA以及HardCopy®系列结构化ASIC上。设计人员可以选择使用高性能内核、低成本内核或者性价比合适的内核。Nios II系列处理器可实现如下任务:做为系统处理器运行实时操作系统实现复杂的状态机分担现有处理器载荷执行I/O和数据处理任务加速数字信号处理(DSP)算法在HardCopy II结构化ASIC中运行时,Nios II嵌入式处理器出众的处理能力满足了高性能片上系统(SOC)的要求。Nios II嵌入式处理器能够提供系统级处理器性能,实现处理器和系统功能以及逻辑在单个器件中的集成。HardCopy II结构化ASIC和Nios II嵌入式处理器结合使用能够满足计算、大容量存储、电信和网络应用的要求。图1是单个HardCopy II结构化ASIC中多个Nios II处理器在数据处理和控制应用上的实例。图1. HardCopy II器件中Nios II处理器进行数据处理HardCopy器件设计流程使设计人员可以在一个FPGA中测试、验证其设计。然后将经过验证的设计递交给HardCopy设计中心,以没有风险的无缝移植方式在结构化ASIC中实现。HardCopy结构化ASIC是唯一能够实现在正式投产前,硬件功能在FPGA中验证以及系统软件在真实系统配置环境中进行设计、测试的器件。由于设计在移交给Altera之前在FPGA中进行了测试,因此Altera从第一个原型开始就可以保证实现芯片的全部功能。HardCopy II结构化ASIC体系结构HardCopy II结构化ASIC基于Stratix II系列FPGA,在HardCopy II器件和Stratix II FPGA之间有多个原型选择。这取决于所需的HardCopy器件、I/O引脚和封装要求。HardCopy II器件具有高达350-MHz的性能,以Nios II处理器内核实现迄今为止最高的性能表现,而只消耗原型Stratix II器件的一半功率。Stratix II器件结构中的嵌入式DSP模块也可以应用在HardCopy II器件中。这些DSP模块是对Nios II用户指令集和其他硬件加速单元的完美补充。DSP设计人员可以在高性能硬件DSP模块中生成DSP算法和复杂的数学程序,做为常用软件程序来访问或者做为Nios II CPU的用户指令来运行。设计人员可以方便灵活的实现高级软件设计,支持结构化ASIC中的并行硬件操作性能,而不需要进行额外的时钟加速。HardCopy II器件的存储器可满足典型SOC的所有存储要求。每个最大9 M-RAM模块可提供64-K字节段。最大的HardCopy II器件含有576 K字节源码和数据存储。HardCopy II结构化ASIC还支持高速存储器接口,可使用最新的DDR2 SDRAM进行外部源码和数据存储。低成本许可方式Nios II系列嵌入式处理器以一次付清的方式进行许可,不需要对每个器件或每个工程支付额外的版税。Nios II许可允许在任何Altera器件中使用处理器内核,因此采用Nios II处理器和HardCopy II器件可为批量产品提供最具成本效益的解决方案。Nios II许可通常做为Nios II开发包的一部分进行购买。现在可应用于Stratix®、Stratix II 和 Cycloneu2122 器件系列,开发包含有Quartus® II FPGA设计软件和Nios II集成开发环境以及Nios II全部许可。所有的开发包都包括一块开发板及所需的电缆、电源,用户在打开包装后的几分钟内就可以使用Nios II处理器进行开发设计。全面的SOPC解决方案Altera的SOPC Builder自动系统开发工具为设计人员提供了强大的开发平台,可构成包括处理器、外设和存储器接口等常用系统组成的总线系统。HardCopy II设计流程得益于采用了在FPGA原型阶段,FPGA设计文件生成时的SOPC Builder模块设计方法。基于模块的设计方法有助于Nios II处理器和其他IP模块同用户逻辑、用户指令和硬件加速器等典型高密度逻辑设计组成的集成。Nios II外设和接口库页面上有适用于Nios II处理器的外设的更多详细信息
2023-07-14 01:08:111

嵌入式系统 单片机_利用NIOSⅡ处理器构建节省成本的嵌入式系统

  NIOS Ⅱ嵌入式处理器是用于FPGA的可配置的RISC处理器,其易用性和灵活性使2成为世界上最流行的嵌入式处理器之一。   NIOS Ⅱ嵌入式处理器经常与其他嵌入式处理器一起配合使用。如果NIOS Ⅱ处理器程序比较小,可以将NIOS Ⅱ处理器程序存放在FPGA内部的ROM/RAM中。但是FPGA内部的存储器资源通常都很宝贵,不能用来存放应用程序。在这种情况下,要为NIOSII处理器和外置处理器都配置Flash闪存,这种设计符合常规,但是增加系统成本,还增加单板面积。   本文介绍一种更好的设计方案,可以省掉NIOS Ⅱ处理器系统的闪存,从而降低系统成本。这种方案把NIOS Ⅱ处理器和外置处理器通过某种通信端口连接,如串口、I2c等;然后在FPGA内部存放一个很小的系统引导模块;NIOSⅡ处理器系统启动后执行引导模块;引导模块再通过通信端口,从外置处理器取得应用程序代码;应用程序加载完成后,NIOS Ⅱ处理器再执行应用程序。系统引导模块专门针对大小做了优化,占用的ROM空间很小,只有2KB左右,所以基本不会浪费FPGA的资源。对应地,外置处理器也要运行一段代码,将NIOS Ⅱ处理器的代码从自己的存储空间读出,传送给NIOS Ⅱ处理器,一般称这段代码为加载服务器。   嵌入式系统中,最常用的低成本通信端口是串口,所以先用串口实现了无闪存的NIOS Ⅱ处理器系统。在设计中,兼顾了代码在不同类型通信端口上的可移植性,保证片上系统引导模块和加载服务器可以容易地移植到其他类型的通信端口,如I2C等。   设计时,为了使片上系统引导模块最小,尽量简化片上系统引导模块。如果可能,在加载服务器中实现尽量多的功能。   片上系统引导模块和加载服务器之间使用简单、可靠的握手协议。先由加载服务器向NIOS Ⅱ嵌入式处理器发送引导开始(Boot start)标志,NIOS Ⅱ处理器收到开始标志后,发送确认消息。加载服务器接着就将NIOS Ⅱ处理器的应用程序分成帧发送。NIOS Ⅱ处理器收到应用程序的数据帧后,也发送确认消息,再将数据存放到对应的内存位置。应用程序一股分成多个帧发送,所以加载服务器和NIOS Ⅱ处理器片上系统引导模块在处理应用程序数据帧时可能需要循环多次。发送完应用程序后,加载服务器再引导结束(Boot Completion)标志;NIOS Ⅱ处理器接收到引导结束(Boot Completion)标志后,发送确认,然后跳转到应用程序入口处开始执行应用程序。如果加载服务器不能及时收到NIOS Ⅱ处理器引导模块的确认消息,将重发数据;NIOS Ⅱ处理器引导模块也可以主动发送重传消息,以节省加载服务器的等待时间。如果有必要,加载服务器还可以发送命令,重新启动整个加载流程。引导模块和加载服务器的握手协议的处理过程如图2所示。   不同的应用程序可能放在地址不同的内存区域;在同一个应用程序中,不同的代码和数据段也可能放在地址不同的内存区域。所以应用程序的数据帧中应该含有数据的存放位置。不同的应用程序,入口地址可能不一样,所以引导模块需要从加载服务器得到应用程序的入口地址。为了简化设计,规定第一个数据帧的地址就是应用程序的入口地址,即第一个数据帧必须是应用程序的起始代码。   为了保证数据的可靠性,还要校验数据的正确性。所以每帧的最后两个字节,是数据的校验和。综合考虑,NIOSⅡ处理器片上引导模块采用了如下的数据帧格式。   为了提高效率,应用程序的数据使用了二进制数据传输。如果采用S-Record中的字符传送,二进制的64B数据需要128B来编码。加上标志、目标地址等数据,需要在串口中传输的数据总共有140B,效率只有46%。而采用二进制数据传输,需要在串口中传输的数据只有76B,效率达到84%。考虑到串口等通信接口传输速率本来就偏低,所以NIOS Ⅱ处理器片上加载模块在数据帧中使用了二进制格式的数据。为了提高效率,可以增加数据帧中应用程序数据的长度。如果应用程序数据的长度是256B,效率可以达到95.5%。   加载服务器到引导模块方向的数据量很大。为了使NIOS Ⅱ处理器从巨大的数据流中正确识别出各种标志,使用32位的标志。引导模块到加载服务器方向只要确认信息或者重传消息,所以用8位的标志即可。发送时,所有数据都是高位字节先发送,低位字节后发送。如果某个数据帧中的目标地址是0x00102030,则在串口上依次发送的是00、10、20、30。   为了提高可移植性,加载服务器和引导模块的代码中都使用接口无关的通信接口。加载服务器和引导模块都使用的接口有loader-comm-init、loader-comm-rxchar、loader_comm_txchar。另外,加载服务器还多两个接口loader_comm_flush、loader_comm_rxchar_timeout。其中,loader_comm_flush用于清空通信端口的缓冲区中的数据,加载服务器发送任何一帧数据前都要清空接收缓冲区,避免旧确认消息被误认为是后续帧的确认消息。有了缓冲区清空机制,确认消息中就不用含有帧的序号,简化了设计。loader_comm_rxchar_timeout提供了超时退出机制,用于接收从NIOS Ⅱ处理器系统返回的确认消息。这些接口都是为了提高可移植性而增加的中间层。为了提高效率,都把它们设置成了inline类型。系统中可能使用I2C等通信端口,只要在通信端口的驱动程序中实现同样功能的接口,再在上述匹配接口中调用,就可以实现在不同类型的通信端口间的移植。   加载服务器侧需要存储NIOS Ⅱ处理器系统的可执行文件,GCC编译器输出的文件是ELF格式。为了简化加载服务器设计,可以将ELF文件转换成S-Record格式;甚至直接转换成在通信通道上传的帧格式,以节省加载服务器侧的存储空间。目前的加载服务器只支持S-Record格式。S-Record中有S1、S2、S3类型的record含有数据,区别在与地址字段的长度。   NIOS Ⅱ处理器及其外设非常灵活,串口不仅支持标准波特率,还支持各种非标准波特率。如果外置处理器也支持非标准的串口波特率,就可以大大提升软件的加载速度。   在NIOS Ⅱ处理器的开发板上实现了无闪存的NIOS Ⅱ处理器系统。在FPGA中,实现了两个NIOS Ⅱ处理器。第一个处理器的外设只有片上ROM、DRAM和IO接口,运行片上加载模块。第二个处理器的外设有Flash闪存、SRAM和IO接口,运行加载服务器,并存放第一个NIOS Ⅱ处理器的应用程序的S-Record文件。系统启动后,第一个NIOS Ⅱ处理器成功地从第二个NIOS Ⅱ处理器获得了应用程序,执行应用程序也正常。   通过从外部CPU加载NIOS Ⅱ嵌入式处理器的应用程序,可以大大降低系统成本,也可以减小单板面积,这样能够扩展NIOS Ⅱ处理器的应用范围。
2023-07-14 01:08:241

FPGA为什么要用NIOS2?

  NIOS2这种软核cpu思路很新颖 废物利用网表中闲置的逻辑门 就可以让FPGA平白无故就多了一块强大的控制器 而不用外接一个mcu  但FPGA功耗/个头较大 更适合一些设计原型的开发中 或是对尺寸成本能耗不敏感的领域.  NIOS2是专为Altera公司Altera公司开发的系列FPGA的软核处理器(也就是我们说的MCU,但它是一个软核,也就是说,没有时间去里面添加NIOS2软核的FPGA并没有一个处理器,如果你添加,它会合成NIOS2 FPGA的处理器内部),用于NIOS2软件开发是基于C,C + +或汇编语言,软件开发环境和Qartus2支持NIOS2 IDE。  NIOS2的第一步是构建SOPC系统的开发,这一步是Qartus2 SOPC的建设者内进行,之后确定,NIOS2将形成的等待所谓的框图,外围数字电路的其余部分可以按照传统的FPGA开发完成。 FPGA开发完成后,单片机的硬件生产相当于完成后,接下来就需要进行编程NIOS2处理器调试,这一步是在NIOS2 IDE中进行。
2023-07-14 01:08:311

NIOS能做浮点运算么

兄弟,是这样的,这两者之间可以配合起来使用的。NIOS相当于是控制核心,它通过Avalon总线可以连接外设,而这些外设就是可以是你自己写的Verilog可综合逻辑。你说的FPGA优势的确没错,但是各有各的好处。举个小例子,比如说浮点运算,如果用Verilog实现的话是比较麻烦的,但是把浮点部分放在NIOS中处理就会简单很多。它们各自发挥各自的长处,同时让你的有更多的选择模式。两者结合天下无敌啊,亲~~~~
2023-07-14 01:08:381

nioes2处理器的存储格式为什么端格式

ARM7TDMI处理器可以将存储器中的字以下列格式存储uf0a7大端格式(Big-endian)uf0a7小端格式(Little-endian)uf0a7小端存储器系统:在小端格式中,高位数字存放在高位字节中。因此存储器系统字节0连接到数据线7~0。uf0a7大端存储器系统:在大端格式中,高位数字存放在低位字节中。因此存储器系统字节0连接到数据线31~24。
2023-07-14 01:08:472

Nios II在线调试失败,JTAG UART?

1. 检查硬件有没有问题 2. 检查NIOS的CPU设置,包括程序的中断地址设置,,9, saDfhwaehh 举报 硬件没有问题啊,别人也用那个板子做测试呢!~CPU应该也没问题,不然使用片上的ram会出错的!有人说就是下载线的问题,要正规的那种,但是为什么使用片上ram就好着呢,也能调试和烧写flash!~~~ 举报 gankeeper 会不会你的fmax不够?片上的fmax一般比外部ram的高。你可以测试一下你的sdram,不用nios,直接用硬件编写一个写和读的测试程序,看在你需要的fmax下能否正确读写。 还有sdram的驱动设置是否正确 不好意思,晚了几天。我对sdram很不了解啊,不过板子上的SDRAM正好是nios手册中用来做例子计算相移的那个sdram芯片,也就是MT48LC4M32B2,所以我在sopc builder中直接就选了这个,什么都不用改的。那个计算的相移是-3.35ns,不知前辈还有何高见?还有那个SDRAM的测试。。。能再说清楚一些吗?使用vhdl语言编写吗?~~,Nios II在线调试失败,JTAG UART JTAG UART UART,JTAG NIOS调试JTAG UART.提示如下.没有任何输出. nios2-terminal:Warning:The JTAG cable you are using is not supported for Nios nios2-terminal:II systems.You may experience intermittent JTAG munication nios2-terminal:failures with this cable.Please use a USB Blaster revision B nios2-terminal:cable or another supported cable.Please refer to the file nios2-terminal:errata.txt included in the Nios II development kit documents nios2-terminal:directory for more information. nios2-terminal:(Use the IDE stop button or Ctrl-C to terminate) 并且进入DEBUG之后也不是很正常.单不执行.设置断点等操作不好使 我知道有很多人问这个问题,但是没有一个准确的答案!我就是试一个流水灯的程序,有epcs和sdram,sdram不知道有没有问题,我使用一个片上的ram完全没问题,也可以在线调试,但是换成sdram就是这样了,
2023-07-14 01:09:011

QUARTUS 和NIOS 配合使用是什么意思

没人回答就我来吧。quartus是用来编译HDL进行硬件开发。NIOS II是一个32位的微处理器,下载到FPGA芯片里面之后,FPGA就可以进行软件开发,你说的NIOS 应该说是 NIOS II IDE编译器,就是用来进行软件开发的。
2023-07-14 01:09:092

华硕x505bp如何设置NIOS,把win10装回win7系统

原厂系统是win10还是win7?
2023-07-14 01:09:293

请问FPGA的NIOS II中为什么要使用SDRAM??以及FLASH??

pll里的相移设置用于外面sdram的时序设置的,你既然能发出几个正常的字符,应该不是sdram接口问题。感觉是时钟不正确,或者你的板子硬件上有点问题。具体可以邮件联系我keyboard660@163.com
2023-07-14 01:09:375

MicroBlaze和nios2哪个更强大?

挂在microblaze上;(3)利用简单的c语言调用相应的用户接口函数,便可以访问外部设备啦!! Do you know?R
2023-07-14 01:09:522

nios中app,bsp文件夹里是什么

这种一般是用的命令行的NIOS(Command Line),NIOS的工程包括一个Application Project,就是APP文件夹中的,还有一个Board Support Package,就是BSP文件夹中的,在GUI版的NIOS IDE中叫做System Library。详细的描述可参见NIOS II Software Handbook
2023-07-14 01:09:591

nios 不管什么方法往epcs固化都不能运行

1 NIOS增加一些地址线 数据线,直接连到ram的接口访问2 利用avalon总线,把ram挂上去,一般需要做一个接口模块用于avalon总线协议,然后在SOPC里挂上去分配地址1方式实现比较简单,如果ram只是给nios用,2方式比较好,可以简洁点。
2023-07-14 01:10:061

联想小新改nios后找不到硬盘

猜测是不是你的硬盘选项关闭了。在boot选项下hard drives 是不是设置成了disable(有的bios里这个选项可能是别的英文,但是差不多);还有种可能,新机器的硬盘如果原来是GUID分区分区表类型,被改成了mbr分区表类型,需要在bios下把uefi模式改成legacy模式,还要关闭安全选项才能找到硬盘选项。在“ bios ”下如果看到的是uefi启动界面,那么只要将当前默认的启动模式转为为传统的bios设置界面就可以看到硬盘。
2023-07-14 01:10:131

电脑xp系统怎么用nios重装系统

XP系统的电脑怎么重装系统?什么是系统盘?不联网可以重装系统吗?求具体操作,另外,我以前没装过系统,不知道怎么弄,操作过程中有哪些需要注意的?给电脑重装系统一、准备工作: 如何重装电脑系统首先,在启动电脑的时候按住DELETE键进入BIOS,选择Advanced BIOS Features 选项,按Enter键进入设置程序。选择First Boot Device 选项,然后按键盘上的Page Up或Page Down 键将该项设置为CD-ROM,这样就可以把系统改为光盘启动。其次,退回到主菜单,保存BIOS设置。(保存方法是按下F10,然后再按Y键即可)1.准备好Windows XP Professional 简体中文版安装光盘,并检查光驱是否支持自启动。2.可能的情况下,在运行安装程序前用磁盘扫描程序扫描所有硬盘检查硬盘错误并进行修复,否则安装程序运行时如检查到有硬盘错误即会很麻烦。3.用纸张记录安装文件的产品密匙(安装序列号)。4.可能的情况下,用驱动程序备份工具(如:驱动精灵2004 V1.9 Beta.exe)将原Windows XP下的所有驱动程序备份到硬盘上(如∶F:Drive)。最好能记下主板、网卡、显卡等主要硬件的型号及生产厂家,预先下载驱动程序备用。5.如果你想在安装过程中格式化C盘或D盘(建议安装过程中格式化C盘),请备份C盘或D盘有用的数据。二、用光盘启动系统: (如果你已经知道方法请转到下一步),重新启动系统并把光驱设为第一启动盘,保存设置并重启。将XP安装光盘放入光驱,重新启动电脑。刚启动时,当出现如下图所示时快速按下回车键,否则不能启动XP系统光盘安装。如果你不知道具体做法请参考与这相同的-->如何进入纯DOS系统
2023-07-14 01:10:211

Nios II出现Timestamp不match的错误怎么办

 出现这个错误讯息的原因是你Nios II软体编译所用的.sof,与你刚刚烧进DE2的.sof是不同一份。  若出现以下讯息,请依照以下方式检查  Step 1:  你用的Quartus II硬体.sof档,和Nios II软体所用的.sof是不是为同一份,这是很容易犯的错误。尤其若你用的是Quartus II Web Edition,会产生_time_limited.sof,而非原本project名称的.sof,但因为ptf对应的是_time_limited.sof,所以一不小心就可能烧错.sof。  若不成功,请试试Step 2。  Step 2:  将DE2 reference design的DE2_NIOS.sof烧进DE2,用Hello World project template,成功後,再将自己project的.sof烧进去。详细原因不知,很可能是DE2版子的cache造成误判。  若不成功,请试试step 3。  Step 3:  将DE2电源off,然後重新on,先将你的Nios II的软体C语言程式备份,删除所有Nios II project,将SOPC Builder重新generate .ptf,将Quartus II重新compile .sof,然後重新建立Nios II  project,将备份的C语言程式码还原。  Conclusion  这是Nios II的bug,常常会出现,目前我用的Quartus II 7.2  SP1,或许更新的版本有改进,不过Altera的工具常常大改,经常听到原来Quartus II 6.1与Quartus II 7.1所写的程式,在Quartus II 7.2无法编译,所以若你打算更新Quartus II版本,或许你要慎重考虑相容性问题。
2023-07-14 01:10:391

请教nios环境下flash烧写问题

flash 硬件连接有问题吧。看看管脚分配、焊接有没有问题。
2023-07-14 01:10:461

大家好,请问NIOS II 9.0 EDS和 NIOS II 9.0 IDE有何联系?是不是只要安装NIOS II EDS就可以运行IDE啦?谢

NIOS II EDS 是 Nios II Embedded Design Suite (Nios II 嵌入式设计包)的缩写。NIOS II IDE 是 Nios II Integrated Development Environment(NIOS II 集成开发环境)的缩写。用官网的描述就是:Nios II 集成开发环境(IDE) 是Nios II系列嵌入式处理器的基本软件开发工具;而NIOS II嵌入式设计包(EDS) 组合了前沿的软件工具、实用工具、库和驱动器,当然NIOS II IDE也包含其中。最新版本的 Nios II EDS 包括: 为Eclipse提供的Nios II 软件构建工具(即NIOS II SBT,它是Nios II IDE的下一代工具) Nios软件构建工具 嵌入式软件 Altera IP和HAL API器件驱动 NIOS II IDE 是 NIOS II EDS 的一部分,所以只要安装了NIOS II EDS,当然也就可以运行IDE啦~附参考网址:NIOS II EDS 官网介绍:http://www.altera.com.cn/products/ip/processors/nios2/tools/ni2-development_tools.htmlNIOS II IDE 官网介绍:http://www.altera.com.cn/products/software/products/nios2/emb-nios2_ide.htmlNIOS II SBT 官网介绍:http://www.altera.com.cn/products/ip/processors/nios2/tools/ide/ni2-ide.html
2023-07-14 01:11:071

使用NIOS II IDE 编译程序的时候总出现这样的错误,为什么了?

是不是也没编译到NIOS库这一步就报错了,是的话好像只有重新建工程才能解决。
2023-07-14 01:11:131

在nios II 中新建工程出现 Path to .sopcinfo file must not contain spaces

看看你NIOS II的Work Space有没有选择对,就是你的Project所在的目录
2023-07-14 01:11:212

如何用nios控制FPGA中的双口ram读写.如果硬件完成后 软件该怎么写呢

1 NIOS增加一些地址线 数据线,直接连到ram的接口访问2 利用avalon总线,把ram挂上去,一般需要做一个接口模块用于avalon总线协议,然后在SOPC里挂上去分配地址1方式实现比较简单,如果ram只是给nios用,2方式比较好,可以简洁点。
2023-07-14 01:11:281

nios中写字节怎么理解 void iic_write(alt_u8 dat) { alt_u8 i, tmp; IOWR_ALTERA_AVALON_PIO_DIRECTION(SD

就是将一个字节的dat, 按bit在时钟低电平时发送数据
2023-07-14 01:11:352

Altera FPGA 中的 Nios II 实际中用得多不多

挺多的,有许多公司做项目用的,
2023-07-14 01:11:442

如何在NIOS里读写EPCS 来自 ichxw2010的博客

进入NIOS,菜单tools下选择Flash Programmer 1.main标签页中指定好你的project ELF PTF和sof文件 2.Target Connection中选择好下载线和目标芯片 所有东西都准备好后,下面的Program Flash按钮会高亮,然后点击它,跑完断电重启FPGA就OK。
2023-07-14 01:11:511

quartus 运行nios 遇到问题,求解

可能是编译器的问题吧!你安装一个9.0的试试看!
2023-07-14 01:11:583

在用nios建立一个cc++空工程时,出现错误提示.初学nios,那位高手帮我解决下?

无厘头的错误,试着重新安装一下软件。祝好运!
2023-07-14 01:12:133

nios中计算正弦速度很慢,怎么加快计算的速度啊

1。加快sopc的CPU时钟速率2。使用查找表的方法。3。或者用硬件逻辑实现,使用CORDIC算法
2023-07-14 01:12:371

nios2 IDE编程时点击louline头文件时出现no include files were found that matched that name

这个木搞过啊,不好意思,,
2023-07-14 01:12:453

nios ii 编译出错

楼主问题解决了吗?我也有同样的问题
2023-07-14 01:12:535

华硕x505bp如何设置NIOS,把win10装回win7系统?

您打错了吧,应该是BIOS吧。1.用牙签等小物件顶一下电源线旁边的高级启动专用按钮,进入高级启动菜单2.选择【BIOS Setup】,回车进入BIOS设置3.关闭安全启动。如果安装32位WIN7还要将UEFI设置为Legacy模式,并且在PE下用磁盘分区工具将GPT磁盘转换为MBR4.保存并退出进入bios菜单方法:从光驱启动:开机出现电脑品牌的LOGO的时候仔细看左下角有提示,其中有一个F12的字样(大部分的电脑是F12的,当然你的可能是其它的),就是进入BIOS的快捷键,这个时候按F12就可以进入了。(就是在电脑屏幕刚亮的时候就狂按F12就好了)。BIOS 第一页 硬件基本信息和笔记本的编号。2.找到上面蓝色菜单栏那里有个BOOT的,按方向键(就上下左右那个)选到BOOT那里,然后 出现下图所示的样子3.找到有ATAPI CD/DVD 字样的这个,然后按F5 或F6把这个调到最上面,前面标号为1 为止。如果是用U盘装的话。那这里就选择USB HDD这项。最后再按F10 保存、退出。按F10会弹出问你是否保存选YES。
2023-07-14 01:13:061

请问大家: FPGA为什么要用NIOS2呢?

  NIOS2这种软核cpu思路很新颖 废物利用网表中闲置的逻辑门 就可以让FPGA平白无故就多了一块强大的控制器 而不用外接一个mcu  但FPGA功耗/个头较大 更适合一些设计原型的开发中 或是对尺寸成本能耗不敏感的领域.  NIOS2是专为Altera公司Altera公司开发的系列FPGA的软核处理器(也就是我们说的MCU,但它是一个软核,也就是说,没有时间去里面添加NIOS2软核的FPGA并没有一个处理器,如果你添加,它会合成NIOS2 FPGA的处理器内部),用于NIOS2软件开发是基于C,C + +或汇编语言,软件开发环境和Qartus2支持NIOS2 IDE。  NIOS2的第一步是构建SOPC系统的开发,这一步是Qartus2 SOPC的建设者内进行,之后确定,NIOS2将形成的等待所谓的框图,外围数字电路的其余部分可以按照传统的FPGA开发完成。 FPGA开发完成后,单片机的硬件生产相当于完成后,接下来就需要进行编程NIOS2处理器调试,这一步是在NIOS2 IDE中进行。
2023-07-14 01:13:163

NiosⅡ的分类

Nios II系列包括3种产品,分别是:Nios II/f(快速)——最高的系统性能,中等FPGA使用量;Nios II/s(标准)——高性能,低FPGA使用量;Nios II/e(经济)——低性能,最低的FPGA使用量。这3种产品具有32位处理器的基本结构单元——32位指令大小,32位数据和地址路径,32位通用寄存器和32个外部中断源;使用同样的指令集架构(ISA),100%二进制代码兼容,设计者可以根据系统需求的变化更改CPU,选择满足性能和成本的最佳方案,而不会影响已有的软件投入。
2023-07-14 01:13:231

nios ii在线调试后出现以下信息,采用的FPGA芯片是EP2C8Q208,不加SDRAM时调试正常,加后出现如下错误

好奇怪啊!!!!!
2023-07-14 01:13:374

nios ii command shell在哪儿

选中NIOS中的工程文件APP,单击右键选择Nios II -> Nios II Command Shell
2023-07-14 01:13:441

在运行nios ii时出现downloading Elf process failed,该怎么解决?

1、nios2cpudatamaster没有和onchinpram连接,导致程序在系统ram上无法下载。2、在加载SDRAM模块时应注意其参数的准确性,否则同样影响data总线数据传输导致该问题。3、还有,在建立工程选择软核.sopcinfo文件时要注意路径,一般niosii新建工程时默认上一次工程的.sopcinfo文件,很容易就选择了该文件,导致运行失败。。解决方法:关掉NiosIIIDE重新编译。
2023-07-14 01:13:511

SOPC文件修改过,NIOS中如何重新编译

未保存的可以找得到,方法如下:1、打开工作簿,单击文件;2、找到管理版本,鼠标放在管理版本处,会显示“恢复未保存的工作簿”。也可以直接点击上方“今天没保存时就关闭”,可以直接跳出当时不慎关掉的页面;3、单击还原;4、跳出如下框框,点击确定。就可以了。
2023-07-14 01:13:581

nios与quartus的关系是什么?

nios是一个CPU ,用C语言完成流水灯设计。nios一个固核一般将近要消耗2000逻辑门左右。所以简单的一些控制,就基本不用nios了。而且现在nios搭建的QSYS系统,用起来也不是很爽,现在高端的FPGA也不用nios固核了,而是直接嵌入arm 的cortex A9,这样的硬核。NIOS II也不是第二代FPGA。最先是有一个叫NIOS的CPU软核,可以理解成一个单片机,但是这个单片机不是具体硬件的单片机而是一个用VHDL写的硬件代码。可以直接下载到FPGA里面去,这样FPGA里面就有了一个CPU.(51单片机也是有VHDL代码的,可以移植到FPGA里面去)。然而 FPGA是一个编程硬件的,当里面放了一个NIOS的CPU以后,就可以用C语言来在NIOS CPU上面进行软件开发了。
2023-07-14 01:14:361

FPGA为什么要用NIOS2?

  NIOS2这种软核cpu思路很新颖 废物利用网表中闲置的逻辑门 就可以让FPGA平白无故就多了一块强大的控制器 而不用外接一个mcux0dx0a  但FPGA功耗/个头较大 更适合一些设计原型的开发中 或是对尺寸成本能耗不敏感的领域.x0dx0a  NIOS2是专为Altera公司Altera公司开发的系列FPGA的软核处理器(也就是我们说的MCU,但它是一个软核,也就是说,没有时间去里面添加NIOS2软核的FPGA并没有一个处理器,如果你添加,它会合成NIOS2 FPGA的处理器内部),用于NIOS2软件开发是基于C,C + +或汇编语言,软件开发环境和Qartus2支持NIOS2 IDE。x0dx0a  NIOS2的第一步是构建SOPC系统的开发,这一步是Qartus2 SOPC的建设者内进行,之后确定,NIOS2将形成的等待所谓的框图,外围数字电路的其余部分可以按照传统的FPGA开发完成。 FPGA开发完成后,单片机的硬件生产相当于完成后,接下来就需要进行编程NIOS2处理器调试,这一步是在NIOS2 IDE中进行。
2023-07-14 01:14:431

怎么用NIOS II访问FPGA自带的ram

首先这个RAM要外包一层Avalon总线接口,然后在Nios II上将RAM接在Avalon总线的M口上。当然要分配RAM的寻址空间啦。
2023-07-14 01:14:523

FPGA为什么要用NIOS2?

  NIOS2这种软核cpu思路很新颖 废物利用网表中闲置的逻辑门 就可以让FPGA平白无故就多了一块强大的控制器 而不用外接一个mcu  但FPGA功耗/个头较大 更适合一些设计原型的开发中 或是对尺寸成本能耗不敏感的领域.  NIOS2是专为Altera公司Altera公司开发的系列FPGA的软核处理器(也就是我们说的MCU,但它是一个软核,也就是说,没有时间去里面添加NIOS2软核的FPGA并没有一个处理器,如果你添加,它会合成NIOS2 FPGA的处理器内部),用于NIOS2软件开发是基于C,C + +或汇编语言,软件开发环境和Qartus2支持NIOS2 IDE。  NIOS2的第一步是构建SOPC系统的开发,这一步是Qartus2 SOPC的建设者内进行,之后确定,NIOS2将形成的等待所谓的框图,外围数字电路的其余部分可以按照传统的FPGA开发完成。 FPGA开发完成后,单片机的硬件生产相当于完成后,接下来就需要进行编程NIOS2处理器调试,这一步是在NIOS2 IDE中进行。
2023-07-14 01:14:591

Nios II出现Timestamp不match的错误怎么办

那不要怪我了 我没去看过
2023-07-14 01:15:073

nios ii 和 Quartus II 的关系,及版本关系,不明白望指点,有下载地址最好,谢谢

FPGA用的语言verilog和vhdl这二个是主流,是自己专门的语言。nios ii是第二代FPGA。用C语言,方便了代码移植。版本嘛,等级越高越好,因为编译速度将大大的降低时间。目前最高时quartus 10.好像有8G多。http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4252364&bbs_page_no=1&search_mode=1&search_text=quartus&bbs_id=1029
2023-07-14 01:15:142

在做nios2的时候出现这样的编译错误。。。求高手解答啊。。。万分感谢

重新新建工程试试看
2023-07-14 01:15:222

niosii出现timestamp不match的错误怎么办

  出现这个错误讯息的原因是你Nios II软体编译所用的.sof,与你刚刚烧进DE2的.sof是不同一份。  若出现以下讯息,请依照以下方式检查  Step 1:  你用的Quartus II硬体.sof档,和Nios II软体所用的.sof是不是为同一份,这是很容易犯的错误。尤其若你用的是Quartus II Web Edition,会产生_time_limited.sof,而非原本project名称的.sof,但因为ptf对应的是_time_limited.sof,所以一不小心就可能烧错.sof。  若不成功,请试试Step 2。  Step 2:  将DE2 reference design的DE2_NIOS.sof烧进DE2,用Hello World project template,成功後,再将自己project的.sof烧进去。详细原因不知,很可能是DE2版子的cache造成误判。  若不成功,请试试step 3。  Step 3:  将DE2电源off,然後重新on,先将你的Nios II的软体C语言程式备份,删除所有Nios II project,将SOPC Builder重新generate .ptf,将Quartus II重新compile .sof,然後重新建立Nios II  project,将备份的C语言程式码还原。  Conclusion  这是Nios II的bug,常常会出现,目前我用的Quartus II 7.2  SP1,或许更新的版本有改进,不过Altera的工具常常大改,经常听到原来Quartus II 6.1与Quartus II 7.1所写的程式,在Quartus II 7.2无法编译,所以若你打算更新Quartus II版本,或许你要慎重考虑相容性问题。
2023-07-14 01:15:291

Severity and Description Path Resource Location Creation Time Id /build/nios2eds-gnutools-win32-9.0

可是新建了还是一样啊
2023-07-14 01:15:442

NIOS 加 不上sdram 啊!帮我看看错误 谢谢

把工程文件夹下*.sopc文件中内容贴上来看看
2023-07-14 01:16:032

1.FPGA中NOIS和IP有关系吗?

是NIOS吧?NIOS是altera开发的运行于FPGA的IP核。IP好像是知识产权的缩写,从FPGA的小范围来说,你可以认为就是megawized plug in manage 里边的。你指的宏模块应该是FPGA内部的硬件实体,而IP可以是软件实体,也可以是硬件实体。从网上下载了一个8051核直接就是IP核了,你直接在工程中编译就可以了,可以认为是与NIOS类似的,两种是并行关系。
2023-07-14 01:16:122

QSYS(NIOS)里添加的元件的reset,是高有效还是低有效?

AVALON总线的reset信号有两种,就像你说的那样,reset_n是低有效,而reset是高有效。在Qsys中把不同组件的reset_n和reset管脚连在一起不是说它们在电气上就是等电势的了,只是说它们会一同有效或者无效。所以把所有的reset_n和reset连在一起是没问题的。至于CPU不能运行,你又怀疑reset有问题的话,你可以试试把这几个信号都引出至FPGA外部,人为给它们施加信号或是用示波器直接测量,应该能找到问题。
2023-07-14 01:16:191

Nios II EDS 和 Nios II IDE 的问题

您好,NIOS II EDS 是 Nios II Embedded Design Suite (Nios II 嵌入式设计包)的缩写。NIOS II IDE 是 Nios II Integrated Development Environment(NIOS II 集成开发环境)的缩写。用官网的描述就是:Nios II 集成开发环境(IDE) 是Nios II系列嵌入式处理器的基本软件开发工具;而NIOS II嵌入式设计包(EDS) 组合了前沿的软件工具、实用工具、库和驱动器,当然NIOS II IDE也包含其中。最新版本的 Nios II EDS 包括: 为Eclipse提供的Nios II 软件构建工具(即NIOS II SBT,它是Nios II IDE的下一代工具) Nios软件构建工具 嵌入式软件 Altera IP和HAL API器件驱动 NIOS II IDE 是 NIOS II EDS 的一部分,所以只要安装了NIOS II EDS,当然也就可以运行IDE啦~附参考网址:NIOS II EDS 官网介绍:http://www.altera.com.cn/products/ip/processors/nios2/tools/ni2-development_tools.htmlNIOS II IDE 官网介绍:http://www.altera.com.cn/products/software/products/nios2/emb-nios2_ide.htmlNIOS II SBT 官网介绍:http://www.altera.com.cn/products/ip/processors/nios2/tools/ide/ni2-ide.html
2023-07-14 01:16:281