nios

阅读 / 问答 / 标签

Nios II在线调试失败,JTAG UART?

1. 检查硬件有没有问题 2. 检查NIOS的CPU设置,包括程序的中断地址设置,,9, saDfhwaehh 举报 硬件没有问题啊,别人也用那个板子做测试呢!~CPU应该也没问题,不然使用片上的ram会出错的!有人说就是下载线的问题,要正规的那种,但是为什么使用片上ram就好着呢,也能调试和烧写flash!~~~ 举报 gankeeper 会不会你的fmax不够?片上的fmax一般比外部ram的高。你可以测试一下你的sdram,不用nios,直接用硬件编写一个写和读的测试程序,看在你需要的fmax下能否正确读写。 还有sdram的驱动设置是否正确 不好意思,晚了几天。我对sdram很不了解啊,不过板子上的SDRAM正好是nios手册中用来做例子计算相移的那个sdram芯片,也就是MT48LC4M32B2,所以我在sopc builder中直接就选了这个,什么都不用改的。那个计算的相移是-3.35ns,不知前辈还有何高见?还有那个SDRAM的测试。。。能再说清楚一些吗?使用vhdl语言编写吗?~~,Nios II在线调试失败,JTAG UART JTAG UART UART,JTAG NIOS调试JTAG UART.提示如下.没有任何输出. nios2-terminal:Warning:The JTAG cable you are using is not supported for Nios nios2-terminal:II systems.You may experience intermittent JTAG munication nios2-terminal:failures with this cable.Please use a USB Blaster revision B nios2-terminal:cable or another supported cable.Please refer to the file nios2-terminal:errata.txt included in the Nios II development kit documents nios2-terminal:directory for more information. nios2-terminal:(Use the IDE stop button or Ctrl-C to terminate) 并且进入DEBUG之后也不是很正常.单不执行.设置断点等操作不好使 我知道有很多人问这个问题,但是没有一个准确的答案!我就是试一个流水灯的程序,有epcs和sdram,sdram不知道有没有问题,我使用一个片上的ram完全没问题,也可以在线调试,但是换成sdram就是这样了,

NIOS能做浮点运算么

兄弟,是这样的,这两者之间可以配合起来使用的。NIOS相当于是控制核心,它通过Avalon总线可以连接外设,而这些外设就是可以是你自己写的Verilog可综合逻辑。你说的FPGA优势的确没错,但是各有各的好处。举个小例子,比如说浮点运算,如果用Verilog实现的话是比较麻烦的,但是把浮点部分放在NIOS中处理就会简单很多。它们各自发挥各自的长处,同时让你的有更多的选择模式。两者结合天下无敌啊,亲~~~~

FPGA为什么要用NIOS2?

  NIOS2这种软核cpu思路很新颖 废物利用网表中闲置的逻辑门 就可以让FPGA平白无故就多了一块强大的控制器 而不用外接一个mcu  但FPGA功耗/个头较大 更适合一些设计原型的开发中 或是对尺寸成本能耗不敏感的领域.  NIOS2是专为Altera公司Altera公司开发的系列FPGA的软核处理器(也就是我们说的MCU,但它是一个软核,也就是说,没有时间去里面添加NIOS2软核的FPGA并没有一个处理器,如果你添加,它会合成NIOS2 FPGA的处理器内部),用于NIOS2软件开发是基于C,C + +或汇编语言,软件开发环境和Qartus2支持NIOS2 IDE。  NIOS2的第一步是构建SOPC系统的开发,这一步是Qartus2 SOPC的建设者内进行,之后确定,NIOS2将形成的等待所谓的框图,外围数字电路的其余部分可以按照传统的FPGA开发完成。 FPGA开发完成后,单片机的硬件生产相当于完成后,接下来就需要进行编程NIOS2处理器调试,这一步是在NIOS2 IDE中进行。

嵌入式系统 单片机_利用NIOSⅡ处理器构建节省成本的嵌入式系统

  NIOS Ⅱ嵌入式处理器是用于FPGA的可配置的RISC处理器,其易用性和灵活性使2成为世界上最流行的嵌入式处理器之一。   NIOS Ⅱ嵌入式处理器经常与其他嵌入式处理器一起配合使用。如果NIOS Ⅱ处理器程序比较小,可以将NIOS Ⅱ处理器程序存放在FPGA内部的ROM/RAM中。但是FPGA内部的存储器资源通常都很宝贵,不能用来存放应用程序。在这种情况下,要为NIOSII处理器和外置处理器都配置Flash闪存,这种设计符合常规,但是增加系统成本,还增加单板面积。   本文介绍一种更好的设计方案,可以省掉NIOS Ⅱ处理器系统的闪存,从而降低系统成本。这种方案把NIOS Ⅱ处理器和外置处理器通过某种通信端口连接,如串口、I2c等;然后在FPGA内部存放一个很小的系统引导模块;NIOSⅡ处理器系统启动后执行引导模块;引导模块再通过通信端口,从外置处理器取得应用程序代码;应用程序加载完成后,NIOS Ⅱ处理器再执行应用程序。系统引导模块专门针对大小做了优化,占用的ROM空间很小,只有2KB左右,所以基本不会浪费FPGA的资源。对应地,外置处理器也要运行一段代码,将NIOS Ⅱ处理器的代码从自己的存储空间读出,传送给NIOS Ⅱ处理器,一般称这段代码为加载服务器。   嵌入式系统中,最常用的低成本通信端口是串口,所以先用串口实现了无闪存的NIOS Ⅱ处理器系统。在设计中,兼顾了代码在不同类型通信端口上的可移植性,保证片上系统引导模块和加载服务器可以容易地移植到其他类型的通信端口,如I2C等。   设计时,为了使片上系统引导模块最小,尽量简化片上系统引导模块。如果可能,在加载服务器中实现尽量多的功能。   片上系统引导模块和加载服务器之间使用简单、可靠的握手协议。先由加载服务器向NIOS Ⅱ嵌入式处理器发送引导开始(Boot start)标志,NIOS Ⅱ处理器收到开始标志后,发送确认消息。加载服务器接着就将NIOS Ⅱ处理器的应用程序分成帧发送。NIOS Ⅱ处理器收到应用程序的数据帧后,也发送确认消息,再将数据存放到对应的内存位置。应用程序一股分成多个帧发送,所以加载服务器和NIOS Ⅱ处理器片上系统引导模块在处理应用程序数据帧时可能需要循环多次。发送完应用程序后,加载服务器再引导结束(Boot Completion)标志;NIOS Ⅱ处理器接收到引导结束(Boot Completion)标志后,发送确认,然后跳转到应用程序入口处开始执行应用程序。如果加载服务器不能及时收到NIOS Ⅱ处理器引导模块的确认消息,将重发数据;NIOS Ⅱ处理器引导模块也可以主动发送重传消息,以节省加载服务器的等待时间。如果有必要,加载服务器还可以发送命令,重新启动整个加载流程。引导模块和加载服务器的握手协议的处理过程如图2所示。   不同的应用程序可能放在地址不同的内存区域;在同一个应用程序中,不同的代码和数据段也可能放在地址不同的内存区域。所以应用程序的数据帧中应该含有数据的存放位置。不同的应用程序,入口地址可能不一样,所以引导模块需要从加载服务器得到应用程序的入口地址。为了简化设计,规定第一个数据帧的地址就是应用程序的入口地址,即第一个数据帧必须是应用程序的起始代码。   为了保证数据的可靠性,还要校验数据的正确性。所以每帧的最后两个字节,是数据的校验和。综合考虑,NIOSⅡ处理器片上引导模块采用了如下的数据帧格式。   为了提高效率,应用程序的数据使用了二进制数据传输。如果采用S-Record中的字符传送,二进制的64B数据需要128B来编码。加上标志、目标地址等数据,需要在串口中传输的数据总共有140B,效率只有46%。而采用二进制数据传输,需要在串口中传输的数据只有76B,效率达到84%。考虑到串口等通信接口传输速率本来就偏低,所以NIOS Ⅱ处理器片上加载模块在数据帧中使用了二进制格式的数据。为了提高效率,可以增加数据帧中应用程序数据的长度。如果应用程序数据的长度是256B,效率可以达到95.5%。   加载服务器到引导模块方向的数据量很大。为了使NIOS Ⅱ处理器从巨大的数据流中正确识别出各种标志,使用32位的标志。引导模块到加载服务器方向只要确认信息或者重传消息,所以用8位的标志即可。发送时,所有数据都是高位字节先发送,低位字节后发送。如果某个数据帧中的目标地址是0x00102030,则在串口上依次发送的是00、10、20、30。   为了提高可移植性,加载服务器和引导模块的代码中都使用接口无关的通信接口。加载服务器和引导模块都使用的接口有loader-comm-init、loader-comm-rxchar、loader_comm_txchar。另外,加载服务器还多两个接口loader_comm_flush、loader_comm_rxchar_timeout。其中,loader_comm_flush用于清空通信端口的缓冲区中的数据,加载服务器发送任何一帧数据前都要清空接收缓冲区,避免旧确认消息被误认为是后续帧的确认消息。有了缓冲区清空机制,确认消息中就不用含有帧的序号,简化了设计。loader_comm_rxchar_timeout提供了超时退出机制,用于接收从NIOS Ⅱ处理器系统返回的确认消息。这些接口都是为了提高可移植性而增加的中间层。为了提高效率,都把它们设置成了inline类型。系统中可能使用I2C等通信端口,只要在通信端口的驱动程序中实现同样功能的接口,再在上述匹配接口中调用,就可以实现在不同类型的通信端口间的移植。   加载服务器侧需要存储NIOS Ⅱ处理器系统的可执行文件,GCC编译器输出的文件是ELF格式。为了简化加载服务器设计,可以将ELF文件转换成S-Record格式;甚至直接转换成在通信通道上传的帧格式,以节省加载服务器侧的存储空间。目前的加载服务器只支持S-Record格式。S-Record中有S1、S2、S3类型的record含有数据,区别在与地址字段的长度。   NIOS Ⅱ处理器及其外设非常灵活,串口不仅支持标准波特率,还支持各种非标准波特率。如果外置处理器也支持非标准的串口波特率,就可以大大提升软件的加载速度。   在NIOS Ⅱ处理器的开发板上实现了无闪存的NIOS Ⅱ处理器系统。在FPGA中,实现了两个NIOS Ⅱ处理器。第一个处理器的外设只有片上ROM、DRAM和IO接口,运行片上加载模块。第二个处理器的外设有Flash闪存、SRAM和IO接口,运行加载服务器,并存放第一个NIOS Ⅱ处理器的应用程序的S-Record文件。系统启动后,第一个NIOS Ⅱ处理器成功地从第二个NIOS Ⅱ处理器获得了应用程序,执行应用程序也正常。   通过从外部CPU加载NIOS Ⅱ嵌入式处理器的应用程序,可以大大降低系统成本,也可以减小单板面积,这样能够扩展NIOS Ⅱ处理器的应用范围。

NIOS嵌入式处理器的特性说明

设计流程及工具硬件开发工具 本页面详列了搭建Nios II处理器硬件系统所用到的开发工具。软件开发工具 本页面提供了Nios II集成开发环境(IDE)的相关信息,这是一种开发人员广泛应用的,包含编辑、编译和调试应用软件等功能的集成开发环境。开发套件 Altera及其合作伙伴提供了大量应用了NiosII系列嵌入式处理器的开发板套件。系统级设计流程 Altera的SOPC Builder工具提供了快速搭建SOPC系统的能力,这种架构可以是包含一个或几个CPU,提供存储器接口,外围设备和系统互连逻辑的复杂系统。构架及特性Nios II处理器核 Nios II处理器系列由三个不同的内核组成,可以灵活地控制成本和性能,从而拥有广泛的应用空间。JTAG调试模块 JTAG调试模块提供了通过远端PC主机实现Nios II处理器的在芯片控制、调试和通讯功能,这是Nios II处理器的一个极具竞争力的特性。用户指令 开发人员可以在Nios II CPU 核内增加硬件,用以执行复杂运算任务,为时序要求紧张的软件提供加速算法。外围设备及接口 Nios II开发套件包括一套标准外围设备库,在Altera的FPGA中可以免费使用。Avalonu2122交换式总线 Avalon交换式总线在处理器、外围设备和接口电路之间实现网络连接,并提供高带宽数据路径、多路和实时处理能力。Avalon交换式总线可以通过调用SOPC Builder设计软件自动生成。设计资源Nios II处理器支持 Nios II处理器支持页面提供了对Nios II 设计者有帮助的多种信息,其中包括使用许可、下载、参考设计、文档资料、在线展示及常见问题。嵌入式处理器方案中心 嵌入式处理器方案中心提供了大量的信息以帮助开发人员应用Altera的嵌入式处理器实现系统设计。可获取的信息有器件支持、软件开发工具,外围设备及接口、培训、技术支持和资料。Nios续订信息 Nios II开发套件包括一年期的CPU、外设和嵌入式软件开发工具的升级许可。(其中不包括Quartus® II软件的升级。)客户可以通过Nios续订程序每年订购包括Nios II 处理器的升级等额外信息。Nios II嵌入式处理器问与答页面 此页提供Altera Nios II系列嵌入式处理器的常见问题及解答。Stratix® II器件及Nios II处理器系列 Stratix II器件结构的优异特性和Nios II嵌入式处理器系列相结合,提供了无与伦比的处理能力,满足网络、通信、数据信号处理(DSP)应用、海量存储及其他高带宽系统的应用需求。Stratix器件及Nios II处理器系列 Stratix FPGA结构的优异特性和Nios II嵌入式处理器相结合,提供了很高的处理能力,满足高带宽系统应用需求。Cycloneu2122器件及Nios II处理器系列 在Cyclone器件中应用Nios II嵌入式处理器系列,降低了成本,提高了灵活性,在价格敏感应用环境中给低成本分立式微处理器提供了一个理想的替代品。在HardCopy II结构化ASIC中实现Nios II处理器Nios® II系列嵌入式处理器具有三个处理器内核,可实现较大范围的嵌入式处理应用。这些软IP处理器内核可以工作在任何最新一代Altera® FPGA以及HardCopy®系列结构化ASIC上。设计人员可以选择使用高性能内核、低成本内核或者性价比合适的内核。Nios II系列处理器可实现如下任务:做为系统处理器运行实时操作系统实现复杂的状态机分担现有处理器载荷执行I/O和数据处理任务加速数字信号处理(DSP)算法在HardCopy II结构化ASIC中运行时,Nios II嵌入式处理器出众的处理能力满足了高性能片上系统(SOC)的要求。Nios II嵌入式处理器能够提供系统级处理器性能,实现处理器和系统功能以及逻辑在单个器件中的集成。HardCopy II结构化ASIC和Nios II嵌入式处理器结合使用能够满足计算、大容量存储、电信和网络应用的要求。图1是单个HardCopy II结构化ASIC中多个Nios II处理器在数据处理和控制应用上的实例。图1. HardCopy II器件中Nios II处理器进行数据处理HardCopy器件设计流程使设计人员可以在一个FPGA中测试、验证其设计。然后将经过验证的设计递交给HardCopy设计中心,以没有风险的无缝移植方式在结构化ASIC中实现。HardCopy结构化ASIC是唯一能够实现在正式投产前,硬件功能在FPGA中验证以及系统软件在真实系统配置环境中进行设计、测试的器件。由于设计在移交给Altera之前在FPGA中进行了测试,因此Altera从第一个原型开始就可以保证实现芯片的全部功能。HardCopy II结构化ASIC体系结构HardCopy II结构化ASIC基于Stratix II系列FPGA,在HardCopy II器件和Stratix II FPGA之间有多个原型选择。这取决于所需的HardCopy器件、I/O引脚和封装要求。HardCopy II器件具有高达350-MHz的性能,以Nios II处理器内核实现迄今为止最高的性能表现,而只消耗原型Stratix II器件的一半功率。Stratix II器件结构中的嵌入式DSP模块也可以应用在HardCopy II器件中。这些DSP模块是对Nios II用户指令集和其他硬件加速单元的完美补充。DSP设计人员可以在高性能硬件DSP模块中生成DSP算法和复杂的数学程序,做为常用软件程序来访问或者做为Nios II CPU的用户指令来运行。设计人员可以方便灵活的实现高级软件设计,支持结构化ASIC中的并行硬件操作性能,而不需要进行额外的时钟加速。HardCopy II器件的存储器可满足典型SOC的所有存储要求。每个最大9 M-RAM模块可提供64-K字节段。最大的HardCopy II器件含有576 K字节源码和数据存储。HardCopy II结构化ASIC还支持高速存储器接口,可使用最新的DDR2 SDRAM进行外部源码和数据存储。低成本许可方式Nios II系列嵌入式处理器以一次付清的方式进行许可,不需要对每个器件或每个工程支付额外的版税。Nios II许可允许在任何Altera器件中使用处理器内核,因此采用Nios II处理器和HardCopy II器件可为批量产品提供最具成本效益的解决方案。Nios II许可通常做为Nios II开发包的一部分进行购买。现在可应用于Stratix®、Stratix II 和 Cycloneu2122 器件系列,开发包含有Quartus® II FPGA设计软件和Nios II集成开发环境以及Nios II全部许可。所有的开发包都包括一块开发板及所需的电缆、电源,用户在打开包装后的几分钟内就可以使用Nios II处理器进行开发设计。全面的SOPC解决方案Altera的SOPC Builder自动系统开发工具为设计人员提供了强大的开发平台,可构成包括处理器、外设和存储器接口等常用系统组成的总线系统。HardCopy II设计流程得益于采用了在FPGA原型阶段,FPGA设计文件生成时的SOPC Builder模块设计方法。基于模块的设计方法有助于Nios II处理器和其他IP模块同用户逻辑、用户指令和硬件加速器等典型高密度逻辑设计组成的集成。Nios II外设和接口库页面上有适用于Nios II处理器的外设的更多详细信息

nios是什么

Nios处理器是ALtera公司推出的32/16位精简指令集处理器软核,在ALtera公司提供的软件SOPC中加载Nios核和响应的外围接口以及定义死亡自定义指令,然后对设计进行综合候下载到FPGA中就可以方便地设计一个具有特定功能地嵌入式处理器.

nios与quartus的关系是什么?

nios是一个CPU,用C语言完成流水灯设计。nios一个固核一般将近要消耗2000逻辑门左右。所以简单的一些控制,就基本不用nios了。而且现在nios搭建的QSYS系统,用起来也不是很爽,现在高端的FPGA也不用nios固核了,而是直接嵌入arm的cortexA9,这样的硬核。NIOSII也不是第二代FPGA。最先是有一个叫NIOS的CPU软核,可以理解成一个单片机,但是这个单片机不是具体硬件的单片机而是一个用VHDL写的硬件代码。可以直接下载到FPGA里面去,这样FPGA里面就有了一个CPU.(51单片机也是有VHDL代码的,可以移植到FPGA里面去)。然而FPGA是一个编程硬件的,当里面放了一个NIOS的CPU以后,就可以用C语言来在NIOSCPU上面进行软件开发了。

最长的英语单词:pneumonoultramicroscopicillicovolcanoconiosis

硅酸盐沉着病,也叫肺尘病

pneumonoultramicroscopicsilicovolcanoconiosis的意思!

pneumonoultramicroscopicsilicovolcanoconiosis[简明英汉词典]n.[医]硅酸盐沉着病,矽肺病

pneumonoultramicroscopicsilicovolcanoconiosis的意思!

分类: 外语/出国 问题描述: pneumonoultramicroscopicsilicovolcanoconiosis的意思!绝对是个单词!!! 解析: pneumonoultramicroscopicsilicovolcanoconiosis pneumonoultramicroscopicsilicovolcanoconiosis和"肺病由非常美好的硅土尘土的吸入造成,主要发现了在火山里"被定义。 语源学: Pneumono=与肺相关(拉丁语) 超=非常(拉丁语) 微观=极端小(Latin/Old英语) silico=硅土(拉丁语) 火山=火山(拉丁语) coni=与尘土(希腊语相关:konis,尘土) osis=疾病/情况(希腊语) 这曾经一定是最长的词出现在一个非技术性的英语词典(来源:OED)。1936年这45封信件词第一次出现在牛津英语字典,和并且有从看上去在韦伯斯特的第三本新国际字典(在-konioisis之下供选择拼写),任意议院未删节的字典和Merriam韦伯斯特的大学字典,命名但一些。 评论家抱怨,这个词是一个技术术语(具体地,一个医学术语),并且因此不值得考虑作为"最长的词一般用法"。 有另外,有条款的更加严重的问题,由logologists"p45"指,是词是骗局。用词方式:消遣语言学学报,在几项分开的条款(页5月1985年里,95-96;页11月1986年,205-206;P.5月1987年,82),1935年研究员发现,词是新词被发明由EverettM.史密斯,全国难题的同盟的总统,为例也许一天进入用途一个理论词的如果趋向在医疗词造币是继续。研究入医学文献体构在他的用法之前在1935从未成功地表示,词存在了在他的造币之前。 疾病的实际名字是尘肺。

"pneumonoultramicroscopicsilicovolcanoconiosis"什么意思?

pneumonoultramicroscopicsilicovolcanoconiosis 世界上最长的英文 词pneumonoultramicroscopicsilicovolcanoconiosis和"肺病由非常美好的硅土尘土的吸入造成,主要发现了在火山里"被定义。 语源学: Pneumono=与肺相关(拉丁语) 超=非常(拉丁语) 微观=极端小(Latin/Old英语) silico=硅土(拉丁语) 火山=火山(拉丁语) coni=与尘土(希腊语相关:konis,尘土) osis=疾病/情况(希腊语) 这曾经一定是最长的词出现在一个非技术性的英语词典(来源:OED)。1936年这45封信件词第一次出现在牛津英语字典,和并且有从看上去在韦伯斯特的第三本新国际字典(在-konioisis之下供选择拼写),任意议院未删节的字典和Merriam韦伯斯特的大学字典,命名但一些。 评论家抱怨,这个词是一个技术术语(具体地,一个医学术语),并且因此不值得考虑作为"最长的词一般用法"。 有另外,有条款的更加严重的问题,由logologists"p45"指,是词是骗局。用词方式:消遣语言学学报,在几项分开的条款(页5月1985年里,95-96;页11月1986年,205-206;P.5月1987年,82),1935年研究员发现,词是新词被发明由EverettM.史密斯,全国难题的同盟的总统,为例也许一天进入用途一个理论词的如果趋向在医疗词造币是继续。研究入医学文献体构在他的用法之前在1935从未成功地表示,词存在了在他的造币之前。 疾病的实际名字是尘肺。

pneumonoultramicroscopicsilicovolcanoconiosis请问这个单词什么意思,谢谢!!

pneumonoultramicroscopicsilicovolcanoconiosis n. [医]硅酸盐沉着病,矽肺病

Pnenumonoultramicroscopicsilicovolcanoconiosis什么意思啊?

pneumonoultramicroscopicsilicovolcanoconiosis[ `nju:mnu, Qltr-,maikrs` kCpik`silikvCl`keinu kuni`usis ]n.[医]硅酸盐沉着病,矽肺病 这个词是由45个字母组成的,见上海译文出版社《新英汉词典》1009页,商务印书馆;《英华大词典》第1065页,国防工业出版社《英汉技术词典》第l 563页。或金山词霸2002版。分开记:pneumono(肺),ultra(超),microscopic(显微的,细小的)silico(硅),volcano。(火山),coni、(尘埃),osis(表示病名的后缀),那么这个词的含义, 就是极其微小的硅的粉末象喷发的火山灰一样沉积在肺中即肺尘病或硅酸盐沉着病。同时,也可记住由这些部分组合而成的另外一些新词:pneumono(肺)是pneumonia(肺炎)的医学词,silicosis(石末沉着病);pneumonosilicosis(硅肺),coniosis(粉尘病),pneumonoconiosis (尘埃沉着病) 所以,这类专业词汇,往往是由其它词汇组合而成,因此,组合法首先是应用原词汇来组合,当然,要能用原词汇,就必须自己有一定的原词汇的基础。

Pneumomoultramicroscopicsilicovolcanoconiosis这是一个单词么?如果是那它是啥意思?

不是,第七个字母应是n。意思:硅酸盐沉积病,矽肺病,尘肺

nios ii和Cyclone II的关系

Cyclone II是altera的一个开发板型号,含类似EP2C5T144这样的FPGA芯片,nios ii是在FPGA里面用逻辑电路搭起来的软核CPU可以像用单片机一样,写C程序来实现一些功能,可以自己扩展外设。
 首页 上一页  1 2