del

阅读 / 问答 / 标签

利用VHDL设计,顶层文件为.bdf图形文件,调用modelsim输出无结果,出现warning,为什么?

你这个实际的顶层是testbench吧。看提示,你是把XXX顶层进行了例化。所以我觉得原因可能是你的xxx和test不在一个库里。也有可能是你的xxx没有编译。

Modelsim is exiting with code 9

第一,你看看你的testbeach有没有错误,有些情况是testbench中出现了问题,在不停得产生数据源,导致不停得向计算机申请内存,导致奔溃, 第二,就是你pc的虚拟内存不够,调大虚拟内存后就ok了。修改你pc的虚拟内存:我的电脑->高级->性能 配置,或者把你的仿真模型中关于flash的容量改小。那么内存应该设置多大呢?我个人的理解的计算方式为:首先要看你仿真模型的容量大小 memroy_a,再看你报错时 任务管理器里pc占用的内存大小memroy_b,memroy_b -你的实际物理内存大小 + memory_a 应该就是你至少需要的虚拟内存。

请教各位大牛一个在linux下启动ModelSim 10.2c的问题

本文首先介绍了modelsim和ise在linux下安装的注意事项,然后介绍了如何用modelsim完全编译xilinx的库文件,最后介绍了一个makefile和vim配合使用modelsim的技巧。原文在http://windwithstorm.blogspot.com/2007/02/edalinuxmodelsim.html首先,让我们来看看安装过程:for modelsim:1 下载modelsim for linux的下载文件。应该总共有三个文件,分别是base,docs和linux.exe。都是gz压缩的。2 解压缩linux.exe,解出来的是可以在终端中直接运行的文件,运行之,并安装。3 分别解压base和docs在同一目录下。4 把这时候的modeltech目录(也就是解压缩和安装后形成的目录)移动到你希望程序所存放的目录下,我这里选的是/opt。5 把windows上的******文件拷贝到/opt/modeltech目录下。这个liense文件最好是在本机的windows环境下生成的。如果机器没有win环境,一定要把所使用的生成机器的mac改成自己机器的mac再用生成器生成。否则******不可用。6 在home下的.bashrc中添加export LM_******_FILE=/YourInstallPath/Your******FileName,然后source .bashrc7 进入安装目录下的linux,运行./vsim即可。for ise and edk1 首先,这个没有什么好说的,直接安装for linux平台的setup就行了。推荐把所有安装文件拷贝到本地硬盘上安装,这样快一些。2 然后分别进入各自目录,运行settings.sh,这个是环境变量的配置文件3 一劳永逸的做法,应该是在用户目录的 .bashrc 中将这两个sh配置文件都source进来。我用的是ubuntu,基于debian的,其它类型系统不一定有.bashrc,具体是什么文件自行摸索,反正是用户配置文件。然后介绍一些如何用modelsim快速编译xilinx库文件。网上有很多不同版本的方法,下面这种是比较方便的一种:1.首先将modelsim.ini文件只读模式去掉,存档前面打对勾。2.在您安装ise的目录下,进入到bin/linux目录下,例如xxx:ise6in t,确认有compxlib这个程序3.在终端中中运行compxlib -s mti_se -f all -l all -o xxxmodeltech_6.0xilinx_libs就可以了,xxx:modeltech_6.0是我安装modelsim的目录,您可以作相应的更改。参数也可以按照您的要求作相应的更改。这样就搞定了。需要注意的是,如果你用的是windows系统,千万记住ise和modelsim的安装目录都不要出现空格,最好是直接安装在根目录下。具体单独用modelsim进行behavioral model的方法是,打开modelsim,把你的代码和仿真代码文件加入,别忘了加入glbl.v文件。在哪里?自己找吧。然后编译,work库这些设置好后,命令行(modelsim里的)输入vsim -L Xilinxcorelib_ver -L unisims_ver -L simprims_ver -lib work $yourtestname glbl就可以了。由于自己喜欢用vim编辑文件,感觉vim编辑verilog时比较不方便,vmake生成的makefile不是很全,所以花了一天晚上,总结了一个比较通用的,用于linux,unix环境的,适用于veirlog编程的make文件,和vim以及modelsim有机接合,可以编辑时直接编译,最后自动保存波形并观看。由于时间关系,以后再补充增加了ise处理命令的版本。makefile如下:EXECUTABLE := top_module #to be correctMODULE := module_to_be_sim #to be correctCC := vlogCFLAGS := -reportprogress 300 +incdir+./include -work work -incr # add more option here if you want to compile with some libraryCOMPILE := $(CC) $(CFLAGS)SIM := vsimVIEW := vsimTIME := -allACTION := "log -ports -internal $(EXECUTABLE)/*;log -r $(EXECUTABLE)/$(MODULE)/*;run $(TIME);quit"SFLAGS := -c -do $(ACTION) -wlf $(EXECUTABLE).wlfSIMULATE := $(SIM) $(SFLAGS)SRCS := $(wildcard *.v )all:$(EXECUTABLE)$(EXECUTABLE):$(SRCS)$(COMPILE) $(SRCS)#run this when you compile the code the first timestart:vlib work && vmap work worksim:$(SIMULATE) work.$(EXECUTABLE)$(VIEW) $(EXECUTABLE).wlfclean:rm -rf *.wlf && bg && pkill make && pkill vish刚想出来,有待改进。下面是我思路的大致介绍1 在机器上安装完modelsim(废话)2 为你的工程建立一个文件夹,首先用make start建立好库文件。目录里面要包括源文件和测试文件。估计所有人都是这样的吧~~3 如果目录不变,则以后的仿真都用这个work库就行了。但是如果工作目录变化的话,每一次都要重新运行一次make start,这样才能在当前目录下生成work目录,用以记录各种库中的各个模块信息。4 直接make。从makefile中可以看出,调用的是vlog工具,打开了增量编译,因此在对任何一个源文件进行修改的时候,放心make就行了,速度很快,立刻提示错误,呵呵。如果你使用的语言是VHDL,要把vlog改成vcom。具体VHDI的命令行编译我没有研究,请参考 modelsim_cmd_ref_ug.pdf这个官方文件。5 之后用make sim进行波形仿真和查看,如果在testbench中用了display或者monitor这种语句的话,那么输出值会在终端中显示,还会保存在当前目录的transcript中。波形文件用vsim看,这是唯一需要打开gui的地方。其他时候全部命令行执行,效率高多了,自己感觉,呵呵。我现在的问题是,只能从终端用vsim命令来启动modelsim,如果想把快捷程序图标加到面板上,属性设成 /opt/modeltech/linux/vsim(安装目录),怎么点都没有不能打开程序。由于无法直接让vsim在后台执行,所以要不然就手工关闭,要不就自己c+z后用make clean关闭,这样比较不方便,正在想改进办法,看能否不关闭gui而直接重新编译并看波形,这个也有待实验。我本来想用vsim xx.wlf &的,在终端下可以,写进makefile就不行,还没有找到原因。

如何用Modelsim进行后仿真

step1:在qurtus改变编译选项: assignments->EDA tool setting:选择verilog还是vhdl。 step2:编译。你会在你的工程所在目录 看到一个simulation的目录,这里面有你生成的网表文件和标准延时文件。step3:在目录:quartusedasim_lib找到你选用器件对应的库文件,将库文件和网表文件以及延时文件和testbench文件放在同一目录,在modelsim里进行编译库文件、网表文件以及bench文件。step4:编译成功后,然后进行load,在load design的时候,需要制定延时文件的路径,以及延时文件作用的区域,延时文件的左右区域就是testbench里面调用顶层文件取的名字。step5:打开signal窗口(view->signal)和wave窗口(view->signal),将你希望仿真的信号添加进去。Step:仿真。。。利用ModelSim SE6.0C实现时序仿真!!!1) 打开一个工程文件。2) 打开Settings设置栏,选择EDA Tools Settings下的Simulation栏。在右边出现的设置栏中将“Tool name”的下拉菜单选择“ModelSim(Verilog)”(如果工程用VHDL语言实现,则可以选择“ModelSim(VHDL)”;如果ModelSim使用的是for Altera的专用版本,则可以选择“ModelSim-Altera(Verilog)”或“ModelSim-Altera(VHDL)”)。另外在设置栏中还有其他的核选框。如果选中“Maintain hierarchy”,则表示在做时序仿真时就能看到像在功能仿真的工程文件层次结构,可以找到定义的内部信号。因为在做后仿时,源文件中的信号名称已经没有了,被映射为软件综合后自己生成的信号名,观察起来很不方便。这个设置与ISE里综合右键属性的Keep Hierarchy选择YES的功能是一样的。如果选中“Generate netlist for functional simulation only”,则表示只能做功能仿真。3) 点击 “Start Compilation”按钮编译工程,完成之后在当前的工程目录下可以看到一个名为“Simulation”的新文件夹,下面的“ModelSim”文件夹下包括仿真需要的.vo网表文件和包含延迟信息的.sdo文件。4) 打开ModelSim软件(或者在Quartus下“Settings->EDA Tools Setting->Simulation”出现的设置栏中选中“Run this tool automatically after compilation”,直接从Quartus下调用ModelSim软件),可以在当前工程目录下新建一个Project。在Project标签栏内点击右键,出现在快捷菜单中选择“Add to Project->Existing File…”。加入当前工程目录的“SimulationModelSim”路径下的.vo文件、TestBench文件和对应当前工程所选择器件的网表文件。比如:当前工程选择的器件是Cyclone系列,Quartus安装目录在“C:altera”路径下。因此需要在“C:alteraquartus50edasim_lib”路径下找到“cyclone_atom.v”的网表文件导入到ModelSim下的Project。如果是其他器件亦是如此,只要在此目录下找到对应有“_atom”后缀的.v文件。当然整个大前提是ModelSim SE版本已经加入了Alterta的仿真库,不过ModelSim-Altera版本就不会存在这样的问题。5) 在出现的Project标签栏的快捷菜单中选择“Add to Project->Simulation Configuration”,会出现如上图所示的名为“Simulation1”的仿真配置。右键点击选择“Properties”,弹出的“Simulation Properties”对话框中有几个标签栏。在“Design”标签栏内需要选择仿真的文件,也就是TestBench文件。在“SDF”标签栏内需要选择包含延迟信息的文件,即Quartus下生成的.sdo文件。这里建议将.sdo文件与ModelSim的工程文件(.mpf文件)放在同一个目录下,不然ModelSim会报类似无法读取.sdo文件的错误。当加入.sdo文件时,需要在如下图所示的“Apply to Region”的编辑框内填写延迟信息文件作用的区域。举个例子来说明:TestBench文件中定义了测试文件的Module名称为ConvEncdTestBnch。TestBench文件中调用待测顶层文件的实例名为top_encode_1。(top_encode top_encode_1(clk, rst, dataIn, serialData, parData);这是TestBench文件中调用顶层的语句)所以在作用区域内需要填写“/ConvEncdTestBnch/top_encode_1”。6) 右键点击名为“Simulation1”的仿真配置,快捷菜单中选择“Execute”命令,执行仿真。7) 指定延时文件sdo 路径时,特别注意“域”的指定,否则用户会在timing 仿真中报load error “can"t find instance file”. 指定的域可以从sim标签页查看sim 的top 层,或要仿真的对象。另外,时序仿真时,一定要记住要把顶层top.v 用 top.vo 替换。同时要确保预编译的库中每个库的名字必需遵循altera的要求,比如:cylcone 的device 库必需命名为cycclone, maxii 的device命名为maxii, flex10Ke 和acex1K 都命名为flex10Ke,详细查看文档附件。Simulation.pdf8) 提供一个testbench 的模板。利用ModelSim SE6.0C实现功能仿真功能仿真流程比较简单,一般不会出现什么问题,这里不再多述。

怎样为quartus原理图添加modelsim仿真功能.仅供初哥初姐参考

1步:查阅<<基于模型设计(qsys篇)>>8页,原来要将a家库建在modelsim安装目录中: 我的modelsim给默认装在c:Mentor@Graphics内,在其中建了altera_fang仿真库目录。运行——〉vsim,接着cd C:/MentorGraphics/altera_fang,回车。点菜单File——〉New——〉Library,将上栏默认的work改成primitive注意到modelsim反馈了信息:“# Copying C:MentorGraphicswin32/../modelsim.ini to modelsim.ini”。查看新建库目录,果然多了个modelsim.ini文件。突然想起原来刚读此段时v和vhdl两个库的纠结,果断删除并新建两个子目录altera_fangv,altera_fangvhdl,然后ls一下:ls# altera_fangv/# altera_fangvhdl/# modelsim.ini# primitive/,先编译常用的verilog库:cd altera_fangv,建元素V库后找到quartus库文件藏的目录D:altera11.1quartusedasim_lib:点击编译:依葫芦画瓢,依次建库编译,有点累:altera_mf_v,220model_v,cyclone_v,cycloneii_v,stratix_v,stratixii_v,对应文件名为:altera_mf.v,220model.v,cyclone_atom.v,cycloneii_atom.v,stratix_atom.v,stratixii_atom.v;这些是我买的老板的fpga 型号,现在可能有点古旧了,据传13版就不支持了。然后是关键一步:修改modelsim安装目录下的ini文件,去掉只读属性,添加此a家库进系统库,不重装系统就不用每次忘记添加它了;然后打开modelsim就出来了:谁知一无缝仿真,出现错误: # ** Error: D:/fft3/lpm_mult0.vhd(39): Library altera_mf not found.# ** Error: D:/fft3/lpm_mult0.vhd(40): (vcom-1136) Unknown identifier "altera_mf".# ** Error: D:/fft3/lpm_mult0.vhd(42): VHDL Compiler exiting# ** Error: C:/MentorGraphics/win32/vcom failed.看来从网上下的fft3项目用的lpm是默认的vhdl格式,先记下其参数后,按其原参数重新选用verilog格式的lpm。不报错了。但没出来波形。怎么回事?没有设置测试向量吗?查百度知道,原来:“产生testbench 的步骤: processing -> start -> start testbench template writer 然后quartus II会自动编译生成testbench模板的”我的项目中激励就是个时钟,填写模板就该行了。试试。。。(继续)在经历了夜半3点不眠狂搜加天明闷声狂试后。我投子了,看来quartus真的不能象saber,pspice一样原理图直接仿真,哪怕用modelsim助力:规规矩矩把顶层原理图转乘.v,然后在项目中把原理图换成它,别忘了重新设其为顶层。呜呼。。。才出来波形。a家的软件q东东真让我等初哥寒心啊。两个插曲:1-testbench设置时,注意三个名字可以不同,但第一个名字不要带.vt扩展名;“i1”要加进去。2-由于li¥cense,飘红时,还是把峻a龙的nios行改成你用的ip号行。起码111sp2可用。

在modelsim中仿真时出现的错误

是"fulladd"这个模块的实例化失败了,在test.v这个文件的第五行,你代码中没添加对,你再看看,或者贴出来我帮你看看~

modelsim仿真:利用quartus11.0调用modelsim10.1仿真。语言环境:vhdl。出错!

testbench没有写好或者quartus里添加testbench的时候没有设置啊i1是你testbench里对顶层文件实例化的名字,别搞错了

quartus13.0中自带的波形仿真和用modelsim仿真有什么区别,然后还有testbench到底是什么?

quartus13.0中自带的波形仿真和用modelsim仿真区别为:实现不同、创建测试平台不同、编译仿真速度不同。一、实现不同1、quartus13.0中自带的波形仿真:quartus13.0中自带的波形仿真可以直接实现波形仿真。2、modelsim仿真:modelsim仿真只能通过建立.vwf波形文件的形式完成仿真。二、创建测试平台不同1、quartus13.0中自带的波形仿真:quartus13.0中自带的波形仿真可以自动地从QuartusII仿真器波形文件中创建完整的HDL测试平台。2、modelsim仿真:modelsim仿真不可以自动对波形文件中创建完整的HDL测试平台。三、编译仿真速度不同1、quartus13.0中自带的波形仿真:quartus13.0中自带的波形仿真的编译仿真速度非常慢。2、modelsim仿真:modelsim仿真采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快。testbench是一种验证的平台。任何设计都是会有输入输出的。但是在软环境中没有激励输入,也不会对设计的输出正确性进行评估。此时便有一种,模拟实际环境的输入激励和输出校验的一种“虚拟平台”的产生。在testbench这个平台上可以对设计从软件层面上进行分析和校验。

wide和widely区别

wide和widely区别:wide用作副词侧重指物体从一边到另一边的距离,widely则主要表示距离远、范围大、地域广等。wide可作形容词、副词和名词,基本含义有“宽阔的”、“宽度的”、“广泛的”、“充分地”、“坏球”;widely只作副词,意思为“普遍地”、“很大程度上”。wide的例句:1、Sam has a wide mouth.萨姆有一张大嘴。2、How wide is that stream?那条小溪有多宽?3、Her music appeals to a wide audience.她的音乐吸引了大批的听众。widely的例句:1、The most widely distributed is the Hui people.分布最广的是回族。2、Gas is now widely used for cooking and heating.现在普遍用煤气烧饭和取暖。单词辨析的方法对于比较相近的词汇,可以利用音标的方法去记忆可以对比汉语中的形近字。词汇的不同用法要根据具体的语境以及固定搭配进行判断。把容易混淆的两个单词放在同一个句子中辨析。有些单词的意思相近,用法也差不多,想要区分清楚主要要靠词组搭配。对于一些相似用法和相似含义的用法,一样把它们记在笔记上,经常去浏览知识点再去做具体的练习题。词汇学习是一个不断积累的过程,在学习课后单词和阅读文章的时候,都可以把不认得的单词记在笔记本上。

电脑开机后就这样了,f1.del也没有反应,怎么处理?

如果你没动过电脑硬件,应该就是电池没电了,电脑很久没换过电池了吧,主板上有一粒纽扣电池,换一个试试。

如何把springmvc model 生成pdf文件

本文先叙述,如何操作PDF模板生成PDF文件,再说明在SpringMVC中如何根据PDF模板生成PDF文件。使用PDF模板生成PDF文件需要以下几个步骤:下面按步骤说明:1. 使用Microsoft Office Word画好模板此步骤就不详述了,就是一个普通的Word文件(template.docx)。给个示例截图:2. 使用Adobe Acrobat X Pro将Word文件转换为带表单字段的PDF模板文件1) 打开Adobe Acrobat X Pro2) 选择“创建PDF表单”3) 选择源:(PDF、Word、Excel或其它文件类型),下一步4) 定位Word文件路径,下一步5) Adobe Acrobat X Pro会自动猜测表单字段位置,如图6) 一般生成的表单字段都不符合我们的要求,选中删除即可。7) 点击右键选择文本框,拖动到适当的位置,设置好域名称,字号,字体等。8) 保存模板文件。(template.pdf)3. 使用itext操作PDF模板,填充数据,生成PDF文件1) 需要jar包:itext.jar、itextAsian.jar2) 核心代码:package personal.hutao.test;import java.io.ByteArrayOutputStream;import java.io.FileOutputStream;import java.io.IOException;import java.io.OutputStream;import java.util.HashMap;import java.util.Map;import org.junit.Test;import com.lowagie.text.DocumentException;import com.lowagie.text.pdf.AcroFields;import com.lowagie.text.pdf.PdfReader;import com.lowagie.text.pdf.PdfStamper;public class TestPdf { @Test public void test() throws IOException, DocumentException { String fileName = "D:/template.pdf"; // pdf模板 PdfReader reader = new PdfReader(fileName); ByteArrayOutputStream bos = new ByteArrayOutputStream(); PdfStamper ps = new PdfStamper(reader, bos); AcroFields fields = ps.getAcroFields(); fillData(fields, data()); ps.setFormFlattening(true); ps.close(); OutputStream fos = new FileOutputStream("D:/contract.pdf"); fos.write(bos.toByteArray());} public void fillData(AcroFields fields, Map<String, String> data) throws IOException, DocumentException { for (String key : data.keySet()) { String value = data.get(key); fields.setField(key, value); } } public Map<String, String> data() { Map<String, String> data = new HashMap<String, String>(); data.put("borrower", "胡桃同学"); return data; }}3) 打开contract.pdf,如图至此,就实现了根据PDF模板生成PDF文件。SpringMVC的视图中已提供了对PDF模板文件的支持:org.springframework.web.servlet.view.document.AbstractPdfStamperView。那么只需要配置好此视图就可以了。具体分为以下步骤:1) 实现抽象类 AbstractPdfStamperViewpackage personal.hutao.view;import java.io.IOException;import java.util.Map;import javax.servlet.http.HttpServletRequest;import javax.servlet.http.HttpServletResponse;import org.springframework.web.servlet.view.document.AbstractPdfStamperView;import com.lowagie.text.DocumentException;import com.lowagie.text.pdf.AcroFields;import com.lowagie.text.pdf.PdfStamper;public class PdfStamperView extends AbstractPdfStamperView { public static final String DATA = "data"; public static final String FILENAME = "mergePdfFileName"; @SuppressWarnings("unchecked") @Override protected void mergePdfDocument(Map<String, Object> model, PdfStamper stamper, HttpServletRequest request, HttpServletResponse response) throws Exception { response.setHeader("Content-Disposition", "attachment;filename=" + new String(model.get(FILENAME).toString().getBytes(), "ISO8859-1")); AcroFields fields = stamper.getAcroFields(); fillData(fields, (Map<String, String>) model.get(DATA)); stamper.setFormFlattening(true); } private void fillData(AcroFields fields, Map<String, String> data) throws IOException, DocumentException { for (String key : data.keySet()) { String value = data.get(key); fields.setField(key, value); } }}2) 在SpringMVC的配置文件中配置视图<!-- 按照BeanName解析视图 --><bean class="org.springframework.web.servlet.view.BeanNameViewResolver"> <property name="order" value="1" /></bean><!-- 定义Pdf模版视图 --><bean id="contract" class="personal.hutao.view.PdfStamperView"> <property name="url" value="/WEB-INF/template/template.pdf" /></bean>3) Controller中的业务逻辑处理package personal.hutao.controller;import static personal.hutao.view.PdfStamperView.DATA;import static personal.hutao.view.PdfStamperView.FILENAME;import java.util.HashMap;import java.util.Map;import org.springframework.stereotype.Controller;import org.springframework.ui.Model;import org.springframework.web.bind.annotation.RequestMapping;import com.coamctech.sample.commons.controller.BaseController;@RequestMapping("/contract")@Controllerpublic class TestController { @RequestMapping("/export/pdf") public String exportPersonalCreaditLoanContract(Model model) { model.addAttribute(DATA, data()); model.addAttribute(FILENAME, "XXX贷款合同"); return "contract"; } private Map<String, String> data() { Map<String, String> data = new HashMap<String, String>(); data.put("borrower", "胡桃同学"); return data; }}

Delayed release 和Extended release 的区别

Delayed release推迟发布extended-release 择时缓释 ; 缓释 ; 缓释烟酸 ; 双层缓释片

Delphi中的Extended是什么意思

超长浮点类型。

simulink中的discrete on/off delay模块怎么用啊???这里面的参数分别是什么意思??

哪个库下面的?我这里怎么没有?

simulink中的discrete on/off delay模块怎么用啊???这里面的参数分别是什么意思??

unit delay块,就是单位延时,对输入信号延时一个采用周期,用于离散系统。工业过程大多是一阶滞后环节,因此,这个单位延时可以用于表示这个滞后作用。零阶保持器(duZero-Order Hold)对连续信号进行离散化,是一个采样保持器,一般用于系统的连续部分到离散部分的过渡,在纯离散系统中不需要使用;单位延时(Unit Delay)用于把离散信号延迟一个采样周期,常见的应用场景是搭建差分方程组描述的系统(可以是非线性的),类似于连续系统中使用Integrator搭建微分方程组描述的系统。transport delay 是纯延时(连续系统),传递函数 e^(-T*s) 那种。扩展资料:Simulink可以用连续采样时间、离散采样时间或两种混合的采样时间进行建模,它也支持多速率系统,也就是系统中的不同部分具有不同的采样速率。为了创建动态系统模型,Simulink提供了一个建立模型方块图的图形用户接口,这个创建过程只需单击和拖动鼠标操作就能完成,它提供了一种更快捷、直接明了的方式,而且用户可以立即看到系统的仿真结果。Simulink是用于动态系统和嵌入式系统的多领域仿真和基于模型的设计工具。对各种时变系统,包括通讯、控制、信号处理、视频处理和图像处理系统,Simulink提供了交互式图形化环境和可定制模块库来对其进行设计、仿真、执行和测试。参考资料来源:百度百科-SIMULINK

电脑左上角出现,no,boot,devices,to,boot,press,ctrl+alt+del,to,restart,...怎么回事

检查硬盘。先看看bios里面

delhi,india什么国家

delhi:德里(印度城市),india:印度

I don’t mind _____ the decision as long as it is not too late. A.you to delay making B.you

B 试题分析:句意为:我不介意你推迟做出决定,只要不是太晚(就可以),mind doing sth 介意做某事;delay doing推迟做某事,两空分别考了mind和delay 两个词后面跟动名词作宾语。故答案应为B

DELL 14rt笔记本Windows Management Instrumentation服务开机无法启动,致使三个功能键全部失灵

你确定你touchpad这个驱动装了?微软自带的驱动触摸板就能用的,但是不能使用关闭快捷键,装了Dell touchpad的驱动才能用快捷键关闭触摸板的你的touchpad程序在运行吗?就是右下角的通知区域中有触摸板的图标显示出来吗

dellinstrumentation可以关闭吗

dellinstrumentation可以关闭,dellinstrumentation是DellSupportAssist中包含的一个驱动程序,它通过在Windows计算机上使用自动化,是可以关闭的。关闭方法:1、首先按键盘上的Windows键和R,键入appwiz.cpl。2、其次点击确定,在Program-and-Feature窗口中,查找Dell-SupportAssist。3、然后右键单击该项目并选择卸载。4、最后在确认提示上单击是即可成功关闭dellinstrumentation。

Delphi中DLL调用后如何完全释放

Delphi有静态加载Dll和动态加载Dll,静态加载的Dll不用我们释放;动态加载需要我们自己释放;这里只附上动态加载DLL的释放(此处Dll和Exe程序在同一路径下):procedure TForm1.Button2Click(Sender: TObject);var MyHandle:THandle ;FPointer:Pointer;MyDll_Func: function (s:string):Integer;stdcall;begintrytryMyHandle:=LoadLibrary("project1.Dll") ;if MyHandle<>0 thenbeginFPointer:=GetProcAddress(MyHandle ,PChar(Edit1.text));if FPointer<>nil thenbeginMyDll_Func:=FPointer;MyDll_Func(Edit1.text) ;endelseShowMessage("此"+Edit1.text+"方法,在project1.Dll中不存在");endexceptshowmessage("加载project1.Dll异常");end;finallyFreeLibrary(MyHandle) ;end;end;

Delphi程序使用资源的释放

 写出一个不会造成资源分配崩溃的Delphi应用程序的关键是确保如果程序中分配了资源 即使当故障发生 程序也应能释放占用的资源   文件 内存 WINDOWS资源和对象是一些必须时时加以注意的需确保释放的资源 下面的事件控制代码例子中先分配了内存 然后产生了一个错误 而造成它不再执行释放内存的程序代码   ProcedureTForm .ButtonlClick(Sender Tobject)   Var   Pointer Pointer   Integer Numzero Intger   begin   Numzero Κ   GetMem(Pointer ) {分配 K的内存资源}   Integer Κ divNumzero {此句产生一个除法错误}   FreeMem(Pointer ) {这里此句将不被执行}   end   尽管大多数错误不会这样明显 但上例包含了重要的一点 当错误产生后 程序执行跳出模块 其后的资源释放程序代码不再被执行 为了确保上例中的FreeMem能够释放被GetMem占用的内存资源 必须把代码放入一个资源保护模块   这里给出一个曲型的资源保护模块的格式   {资源的分配}   try   {资源的使用}   finally   {资源的释放}   end   上面的try...finally模块可以使程序总能执行finally部分中的任何程序代码 即使有错误在保护模块中产生 当try部分中的某一条代码执行引起错误时 执行将直接跳到finally部分 若执行中没有错误产生 则程序按正常顺序执行   在下面的事件控制代码例子中先分配了内存 然后产生了一个错误 但是仍然执行了释放内存的程序代码   ProcedureTForm .Button Click(Sender Tobject)   Var   Pointer Pointer   Integer Numzero Integer   begin   Numzero Κ   GetMem(Pointer ) {分配 K的内存资源}   try   Integer Κ divNumzero {此句产生一个除法错误}   finally   FreeMem(Pointer ) {这里此句仍将被执行}   end   end lishixinzhi/Article/program/Delphi/201311/25084

torre del greco在意大利哪里

Torre del Greco(托雷德-尔格雷科)是意大利南部那不勒斯大城市的一个市镇。

programming model 什么意思

程序设计模型,编程模型。

on delaying retirement什么意思

on delaying retirement对延迟退休

如何用Delphi打开一个XML文档并在文档中加入新的内容

uses msxmldom, XMLDoc, XMLIntf;// 注意节点名 属性名大小写 procedure TForm1.Button1Click(Sender: TObject);var // Xml 容器 XMLDoc: TXMLDocument; IXMLDoc: IXMLDocument; // Xml节点 Root_Node: IXMLNode; Parent_Node: IXMLNode; Child_Node: IXMLNode;begin XMLDoc:= TXMLDocument.Create(nil); IXMLDoc:= XMLDoc; try XMLDoc.LoadFromFile("d:Test.xml"); XMLDoc.Active:= True; XMLDoc.Encoding:= "UTF-8"; Root_Node:= XMLDoc.DocumentElement; if Root_Node = nil then Exit; if Root_Node.NodeName <> "map" then Exit; Parent_Node:= Root_Node.ChildNodes.First; if Parent_Node = nil then Exit; if Parent_Node.NodeName = "point" then begin Memo1.Lines.Add("point type = "" + Parent_Node.Attributes["type"] + """); Child_Node:= Parent_Node.ChildNodes.First; while Child_Node <> nil do begin Memo1.Lines.Add(Child_Node.NodeName + " " + VarToStr(Child_Node.NodeValue) + " x = " + VarToStr(Child_Node.Attributes["X"]) + " y = " + VarToStr(Child_Node.Attributes["Y"])); Child_Node:= Child_Node.NextSibling; end; end; finally IXMLDOC:= nil; XMLDoc:= nil; XMLDoc.Free; end;end;用这个试试

Delphi TreeView遍历所有节点问题

for i := 0 to TreeView.Items.count -1 do Memo.lines.add(TreeView.Items[i].text);

delphi 树控件定位节点

其实你要实现的功能就是通常所说的 树的遍历.下面是一个遍历所有节点的Function,使用时调用下就可以了:function GetAllChild(TreeNode:TTreeNode;Item:TStrings):boolean;var ND:TTreeNode; ChildNum:integer; i:integer;begin if TreeNode=nil then begin result:=false; exit; end; if TreeNode.HasChildren=true then begin ChildNum:=treenode.Count; ND:=treenode.getFirstChild; for i:=1 to ChildNum do begin item.Add(nd.Text); nd:=nd.getNextSibling; end; result:=true; end else result:=false;end;

madelnchlna是什么意思啊!不懂英语

Made in China中国制造

Jean-JacquesDelbo人物简介

Jean-JacquesDelboJean-JacquesDelbo是一名演员,主要作品有《露露》《杜邦先生》《落在树梢》。外文名:Jean-JacquesDelbo职业:演员代表作品:《落在树梢》合作人物:瓦莱利安·博罗夫奇克

My birthday cake looks ______。 A.good B.well C.nicely D.delicious

A

DELL inspiron1440 P7450的详细参数

您好 基本参数上市时间2009年,8月处理器Intel Core2 Duo(Penryn) P7450(2.13GHz)核心架构Penryn处理器类型酷睿2双核处理器最高主频2130MHz二级缓存3072KB L2主板芯片组Intel GM45+ICH9M系统总线1066MHz移动平台迅驰2平台产品定位家用,轻便,低价存储设备内存容量2GB内存类型DDR2 800最大支持内存2个内存插槽,最大容量支持8GB硬盘类型SATA硬盘硬盘参数5400转硬盘容量320GB光驱类型DVD±RW光驱描述支持SuperMulti双层刻录显示屏屏幕尺寸14.1英寸显示屏描述16:9比例分辨率1366×768音频视频显卡类型独立显卡芯片ATI Mobility Radeon HD 4330显存容量512M显卡带宽64bit显卡性能PCI-E X16接口标准,支持DirectX 10音频系统内置音效芯片扬声器内置扬声器通  讯MODEM56K网卡内置10-100M网卡无线通讯802.11b/g无线网卡,内置蓝牙,蓝牙2.0+EDR输入输出鼠标替代设备触摸板USB3个,USB2.0扩展槽1个Express卡读卡器七合一读卡器其它接口VGA接口,RJ45,声音输入,声音输出孔,直流电源插孔,安全锁孔特色设备内置摄像头内置摄像头,130万结构特征规格339×238×25.4(最薄)-38.9mm(最厚) 外观外壳复合材料电能规格电池类型6芯锂电池,4800mAH工作时间约2-3小时电源适配器65W电源适配器,100V-240V 自适应交流电源供应器其  它操作系统Windows Vista Home Basic附带软件McAfee防病毒软件(多种语言)-15个月可选配件锂电池,电源适配器,软件光盘,说明书

Delirious?的《Majesty》 歌词

歌曲名:Majesty歌手:Delirious?专辑:In Christ AloneHere I am humbled by your MajestyCovered by your grace so freeHere I am, knowing I"m a sinful manCovered by the blood of the LambNow I"ve found the greatest love of all is mineSince you laid down your lifeThe greatest sacrificeMajesty, MajestyYour grace has found me just as I amEmpty handed, but alive in your handsHere I am humbled by the love that you giveForgiven so that I can forgiveHere I stand, knowing that I"m your desireSanctified by glory and fireNow I"ve found the greatest love of all is mineSince you laid down your lifeThe greatest sacrificeMajesty, MajestyYour grace has found me just as I amEmpty handed, but alive in your handsMajesty, MajestyForever I am changed by your loveIn the presence of your MajestyMajesty, MajestyYour grace has found me just as I amEmpty handed, but alive in your handsMajesty, MajestyForever I am changed by your loveIn the beauty of your Majestyhttp://music.baidu.com/song/7582401

dell笔记本电脑刚装的系统进入正常模式后黑屏,打开安全模式正常~~

话说你干嘛不找dell的专业人员来帮你修咧?扔给他们不就好了

defer, delay and postpone?

defer及物动词 vt.1.拖延, 延缓, 推迟 The department deferred the decision for six months.这个部门推迟了六个月才作决定。We wish to defer our decision until next week.我们希望推迟到下星期再作出决定。They discussed but deferred the decision.他们进行了讨论, 但迟迟未做决定。Let"s defer the decision for a few weeks.咱们延缓几个礼拜再做决定吧。They cannot defer their departure any longer.他们再不能推迟动身了。The ship deferred its sailing because of bad weather.由于天气不好, 船推迟了起航时间。不及物动词 vi.1.服从某人的意愿, 遵从 We all defer to him in these matters.在这类事情上我们都听他的。We will defer to whatever the committee decides.我们遵从委员会作出的任何决定。delay 名词 n.1.耽搁, 延迟 His delay made the teacher angry.他的迟到使老师生气了。2.被耽搁[推迟]的事件或时间 My delay in going abroad is due to my illness.我推迟出国是因为我生病了。3.(电话讯息从接收到直播节目转播之间的)时间间隔装置4.【美式橄榄球】(持球队员或准备接球队员的)停顿战术,延迟动作,假动作及物动词 vt. & 不及物动词 vi.1.(使)耽搁, 延误 It is getting late, don"t delay.时间已晚, 不要耽搁了。Heavy traffic delayed us.繁忙的交通把我们耽误了。及物动词 vt.1.推迟, 使延期2.推迟,使延迟,使延期,延缓 The driver delayed the drive until the weather cleared.驾驶员延迟到天气转晴后才出车。postpone及物动词 vt.1.延期; 推迟;展缓 The mother postponed all other business to the task of smoothing her crying child.母亲放下一切别的事情, 先去抚慰哭叫着的孩子。They postponed leaving because of the weather.他们因天气原因而延期离开。

单词辨析defer、postpone 、delay和put off 做推迟讲时有什么区别?We will ___a di

这些动词或短语动词均有“推延,延期”之意.delay :普通用词,多指因外界原因推迟或耽误,也可指有意推迟.postpone :正式用词,语气较强,多指有安排的延期,常指明延期到一定的时间.defer :正式用词,语气强于postpone,多...

put off &postpone&delay&defer的区别??

put off 推迟,推延;阻止,劝阻postpone [pu0259ustu02c8pu0259un]vt.推迟,延期,delay [di"lei] n. 耽搁,迟滞v. 耽搁,延迟defer [di"fu0259:] v. 延期

postpone与delay与put off的辨析

delay v.推迟,延期n.延误,耽搁 语境记忆We delayed our departure on account of the bad weather. 由于天气不好,我们将启程的时间推迟了. 辨 异delay,postpone,put off: delay 指由于各种原因而导致“延搁”; postpone 用于正式场合,由于种种原因而不得不“延期”完成会议等; put off 一般用语.

defer,delay和postpone的区别

There are several differences among these words, the most important difference is that:"Delay" implies that something goes wrong, or someone does something wrong. For example. Let"s look at these 2 sentences:John delayed the meeting. vsJohn postponed the meeting. The 1st sentence looks almost like a complaint. In other words, it means that John did something wrong and then the meeting was moved to a later time. So if you use the word delay at work, be prepared to be asked by your boss about the reason why.While the 2nd sentence implies that John moved the meeting to a later time. He did it out of his own will, according to a purpose, or subject to a reason that he can not control but he knows, e.g. an power out break or a coming storm. Defer is similar to Postpone in this perspective.so if you say that John deferred the meeting. it sounds almost the same as John postponed the meeting. However, postpone is used more often than Defer. Secondly,Delay can be a noun, Postpone and Defer can not. e.g. There is a delay in the go live date.Thirdly, you can deter something to a person. e.g.Mary deterred the deiciosn to her manager. It means that "Mary would not make the decision, instead, she let her manager to make the decision".

postpone和delay的区别

postpone和delay的区别如下:Delay通常要传达的信息是:我们把假期推迟。或者延迟到下个月,可能是因为碰到了什么问题,有不可控因素,被动推迟。比如,可能是突然被安排到国外工作,或者预订好的酒店出了问题的等等,你不想推迟但不得不推迟。Postpone 是一个正式用语,它也有“推迟、延期”的意思,不过传递的信息通常是:主动提出延期的要求或做出推迟的决定,并不是因为有意外或者由不定因素而导致需要延期,可能就是我觉得下个月度假更好。所以“postpone”和“delay”不同的点在于,“delay”带有不可控因素,而且略有“不情愿”的感觉,而“postpone”则是主动去推迟。短语搭配:postpone ultimately 最后延期;to postpone 推迟;postpone vi 推迟。相关例句:1、I, for one, would prefer to postpone the meeting.主张推迟会期的,我就是一个。2、The only practicable alternative is to postpone the meeting.另外唯一可行的办法就是推迟会期。3、It was an unpopular decision to postpone building the new hospital.延迟兴建新医院的决定是不得人心的。4、It is totally out of the question to postpone the midnight deadline.延迟午夜的最后期限是根本不可能的。5、They just postpone them.它们只能起到延缓的作用。

求助关于sigma-delta时域仿真工具SIMSIDES使用时报错的问题

第一个是在打开工具箱里的EXAMPLEWarning: c21_FElp_alleffects.mdl, line 1205: "c21_FElp_alleffects/Third Integrator"is a parameterized link. To view, discard, or propagate the changes for this link,use the "Link Options" menu item In generalprivateopenmdl at 13 In open at 159 In uiopen at 196 第二个是在双击模块进行参数设置Error in"SC_FE_Integrator_All_EffectsII".Parameter "c" setting: "" cannot be evaluated.Error : This statement is incomplete.

求come back to me的英文和中文翻译!!!是deluka的 不是宇多田光的 感激不尽!!

[ti:COME BACK TO ME] [ar:UTADA] [00:01.00]Come Back To Me [00:04.00][00:10.00][00:23.44][00:48.37][01:00.89][01:13.00][01:38.13][01:50.57][02:03.13][02:15.83][02:28.27][02:41.96][02:49.70][03:02.33][03:15.03][03:27.34][03:40.00] [00:05.00]Utada Hikaru[00:11.75]The rain falls on my windows【雨滴掉落敲打我窗】[00:14.25]and a coldness runs through my soul【寒意袭来深入灵魂】[00:18.13][00:19.56]and the rain falls【大雨在下(雨不停在下)】[00:21.25]I don"t want to be alone【我不想就这么一个人】[00:24.44]I wish that I could photoshop on our bad memories【我希望我能用Photoshop将我们之间不好的记忆都抹去】[00:30.50]Cuz the flash backs【因为往事如潮】[00:32.00]oh the flashbacks won"t leave me alone【Oh 那往事如潮】[00:36.88]If you come back to me【如果你回到我身边】[00:39.38]I"ll be all that you need【我愿意付出一切】[00:42.50]baby come back to me 【宝贝请回到我身边】[00:45.56]let me make up for what happened, and【让我们风雨同舟】[00:49.38][00:55.38][01:01.90][01:07.83][01:51.58][01:57.70][02:04.14][02:10.21][02:50.71][02:56.97][03:03.34][03:09.34][03:16.04][03:21.97][03:28.35][03:34.42]Come back, baby come back to me【回来 宝贝请回到我身边】 [00:52.32][01:04.71][01:54.51][02:07.01][02:53.84][03:06.28][03:18.79][03:31.48]Come back, I"ll be everything you need【回来 我愿意为你付出一切】[00:58.50][02:00.76][03:00.09][03:25.10]Come back, for you"re one in a million【回来 为了那万里挑一的一个】[01:10.89][02:13.21][03:12.53][03:37.48]Come back, you"re one in a million (one in a million)【回来 你就是那万里挑一的一个】[01:14.01]On the bayside of Manhatten【而在另一边曼哈顿】[01:16.82]She goes shopping for new clothes【她准备为自己添些新衣服】[01:20.32]And she buys this, and she buys that【她买这件 她买那件】[01:23.38]just leave her alone【仅仅是孤单一人】[01:26.76]I wish that he would listen to her side of the story【我希望他可以倾听她的心声】[01:32.64][01:34.14]It isn"t that bad【这并不是最坏的】[01:35.89]and she"s wiser for it now【至少她现在是明智的】[01:39.14]I admit I cheated (admit I cheated)【我承认我欺骗了】[01:41.89]Don"t know why I did it (why I did it)【但是你知道吗?】[01:45.01]But I do regret it (regret it)【我真的后悔了】[01:48.26]And nothing I can do or say can change it.【但现在没有什么能改变它了】[02:16.84]Everything I ever did【对於我做过的一切】[02:18.33]heaven knows I"m sorry but【上天知道我的歉意 但是】[02:19.90]I was too fantastic【我想不到】[02:21.40]you were always there for me【你总是为了我等在那里】[02:23.02]and my curiosity got the better of me【我的求知欲会让一切转变】[02:26.27]baby take it easy on me【宝贝放轻松】[02:29.28]Anyhting from A to Z【任何事从A到Z】[02:30.90]tell me what you want to be【告诉我 你到底想要什么】[02:32.46]I open my heart to me【我敞开我的心】[02:33.96]you were my priority【你是我永远的首要】[02:35.53]can"t you see you punished me【没看到我已经被你惩罚了么?】[02:37.02]more than enough fority【你大过任何权利】[02:38.59][02:42.97]Baby take it easy on me【宝贝请放松】[02:45.96]baby come back to me, baby come back to me【宝贝请放松】[03:41.23]NA NA NA NA NA NA-----------请按照下面指示复制此分割线以上的歌词(鞠躬)-----------要想让以上歌词成为LRC格式(适用MP3/4)最简单的方法当然就是可爱又人性化的千千静听啊= =+(形容词和表情为个人偏好)然后打开用千千静听播放Come Back To Me 在显示歌词秀的情况下,右键在歌词秀内点击,选择编辑歌词,然后在歌词秀的空白处将以上歌词复制过去,粘贴上去,再点歌词秀上面一连串图标里第三个——那个MS是倒置的软盘的图标,保存下来,至于要保存那里就随便你了= =最好是保存到千千静听的专门Lyrics文档里……最后点第一个图标——返回歌词秀就完了,有提示就点"是"当然如果到你看到这个答案的时候我上传的歌词已经审阅完了以上就都不用了……PS:提问的大人我分割线一下和这句话以上的教程只是出于担心哦~并没有把你当电脑白痴的意思,虽然我知道这么说可能更不可信,但如果能帮到你就好了。对了,如果你家小电没有装千千静听就去装一个吧,很方便的,没联网也可以用……

Delphi中运行VRML文件,出现Floating point overflow错误

试试在formcreate第一行添加代码:Set8087CW(Longword($133f));

怎样关闭dell电脑内存效验ecc

1、修改内存条的SPD信息,关闭ECC功能。2、查看你的BIOS,看是否支持关闭ECC功能,若能,关闭即可。ECC是一种差错校验,你为什么要关闭呢。这对系统稳定是有好处的哦。

delphi 制作登录窗口,edit1输入用户名、edit2输入密码

好多种办法,这里给你说一种。1. 在form2的create事件里面,调用密码验证窗体。var f1:tform1;begin f1:=tform1.create(Self); f1.ShowModal;2. 在密码验证窗体上有两个edit框。一个输入username,一个输入password3. 然后密码验证窗体加一个adoconnection控件连上你的acess数据库pw(可以到connectstring属性里面连接,驱动用 Microsoft Jet 4.0 OLE DB那个,然后选择你的pw.mdb文件,测试连接等等)。4.加一个adoquery控件,属性connection 里面写入adoconnection控件的名称。5.然后加一个按钮在按钮事件或password的edit的change事件中,加入下面代码: with 你的adoquery控件名称 do beginclose;sql.clear;sql.add(select * from password where username="""+界面上edit控件名称(如username.text)+""" and password="""+界面上edit控件名称(如password.text)++""");execsql;open;if rowcount >0 then self.close;end;这样就行了。 另外,需要注意的是:在菜单project-》options中的forms中,把form1从auto_create forms拖动到available forms下面。

delphi edit 控件问题

我不是很了解你自己的edit控件,不过,你可以写个procedure来设定,在什么时候使你想要的chinese_edit 1..等的属性.你的chinese_edit1,2..等都继承自chinese_edit控件,应该可以这样吧 :procedure setimemode(chiedit of array of Tchinese_edit);var i : integer;begin for i := low(chiedit) to high(chieidt) do begin chiedit[i].imemode := imHanguel; chiedit[i].imename := "智能ABC..";//在edit的属性栏中就可以找到. end;end;然后在需要的地方调用:setimemode([chinese_edit1.chinese_edit2,...]);这时候所有你指定的edit就改变成procedure里你设置的输入法了.(确保你自制的chinese_edit有imemode,miename属性).

DELPHI7中如何取得edit1前4位数字,在edit2显示电话所在地?

POS是查找一个字符串在另一个字符串中第一次出现的位置。如POS(".","12.34")返回值为3取一个字符串前4位用COPY函数如COPY(EDIT1.TEXT,1,4)返回EDIT1文本编辑框中的前4位。

delphi 如何将Edit.text的值赋给一个变量

s:=inttostr(edit1.text)

delphi,N个edit控件,1个button控件,做修改操作的时候,无论在哪个edit中输入,单击button都成功修改并

用一个全局变量做标识,把所有edit的OnChange事件指向一个,然后再判断

Delphi里从Edit1到Edit5,初始值都为0,第一秒Edit1变为1;第二秒Edit2变为2;依次五个Edit全变位1,怎么写

依次五个Edit全变为1? 还是5个Edit的text依次加1?

delphi 中,动态生成的edit 控件问题?

不太清楚你说什么,我的理解是:动态生成edit控件,然后,你要编辑动态生成的edit的内容,最后在退出主程序(或edit的parent)时,触发一个事件。unit Unit1;interfaceuses Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, Dialogs, StdCtrls;type TForm1 = class(TForm) procedure FormCreate(Sender: TObject); procedure FormClose(Sender: TObject; var Action: TCloseAction); private { Private declarations } public { Public declarations } end;var Form1: TForm1; myedit:Tedit;implementation{$R *.dfm}procedure TForm1.FormCreate(Sender: TObject);begin myedit:=Tedit.Create(application); myedit.Parent:=self; myedit.Top:=10; myedit.Left:=10;end;procedure TForm1.FormClose(Sender: TObject; var Action: TCloseAction);begin if myedit.Text<>"" then//如果myedit不为空,就响一下,并showmessage; begin beep; showmessage(myedit.Text); end; myedit.Free;//不晓得是否有必要释放,我忘了,不过多一行也不算啥end;end.另一个例子:unit Unit1;interfaceuses Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, Dialogs, StdCtrls;type TForm1 = class(TForm) procedure FormCreate(Sender: TObject); private { Private declarations } public { Public declarations } procedure OntbnClick(Sender: TObject);//创建一个过程,用来处理tbn被点击事件 end;var Form1: TForm1; tbn:TButton; myedit:TEdit;implementation{$R *.dfm}procedure TForm1.FormCreate(Sender: TObject);begin tbn:=TButton.Create(application); with tbn do begin parent:=self; caption:="确定"; left:=20; top:=40; end; tbn.OnClick:=OntbnClick;//将创建的过程赋给tbn被点击事件 myedit:=TEdit.Create(application); with myedit do begin parent:=self; left:=10; top:=10; end;end;procedure TForm1.OntbnClick(Sender: TObject);begin if myedit.Text<>"" then//过程触发的事件 showmessage(myedit.Text);{如果只需要判断myedit是否被编辑过,忽略为空的情况,可以改为if myedit.Modified then}end;end.

在DELPHI中怎么让edit输入的只能是中文

在keypress下面写, if not key in [0..9] then eixt 这样基本就可以了。

delphi怎么控制edit中只能输入数字??

你可以先将你抓到的包保存到文件 主要代码: /* 回调函数,用来处理数据包 */ void packet_handler(u_char *dumpfile, const struct pcap_pkthdr *header, const u_char *pkt_data) { /* 保存数据包到堆文件 */ pcap_dump(dumpfile, header,

在Delphi中。如何实现一个Edit查询多种条件?

edit1: 学号, edit2: 职务, edit3: 籍贯,edit4: 面貌.与你的条件有些出入的地方是:我添加了一个radiogroup控件来让用户选择,是按学号查询还是按其他类别查询. 当选择按学号查询时,其它按钮不可用;按其它查询,edit1不可用(这个你自己可以设置是否可用.)如果edit2等中有内容即被当作查询条件,如果为空则不作查询条件. 代码大致如下: //控制按钮是否可用: procedure TForm1.RadioGroup1Click(Sender: TObject); begin case radiogroup1.ItemIndex of 0: begin radiobutton1.Enabled := false; radiobutton2.Enabled := false; datetimepicker1.Enabled := false; datetimepicker2.Enabled := false; edit2.Enabled := false; edit3.Enabled := false; edit4.Enabled := false; end; 1: begin edit1.Enabled := false; radiobutton1.Enabled := true; radiobutton2.Enabled := true; datetimepicker1.Enabled := true; datetimepicker2.Enabled := true; edit2.Enabled := true; edit3.Enabled := true; edit4.Enabled := true; end; end; 查询按钮 procedure TForm1.Button1Click(Sender: TObject); var sql, v_sex : string; begin if radiogroup1.ItemIndex = 0 then//按学号查询 begin with adoquery1 do begin close; sql.Clear; sql.Add("select * from students where num =""" + trim(edit1.Text)+""""); open; end; end else begin sql := "select * from students where "; if trim(edit2.Text) <> "" then sql := sql + "duty = " + Ansiquotedstr(edit2.Text, #39); if trim(edit3.Text) <> "" then begin if trim(edit2.Text) = "" then sql := sql + "nation=" + Ansiquotedstr(edit3.Text, #39) else sql := sql + "and nation= "+ Ansiquotedstr(edit3.Text, #39); end; if trim(edit4.Text) <> "" then begin if (trim(edit2.Text) = "") and (trim(edit3.Text) ="") then sql := sql + "mianmao = " + Ansiquotedstr(edit4.Text, #39) else if (trim(edit2.Text) <> "") or (trim(edit3.Text) <> "") then sql := sql + "and mianmao = " + Ansiquotedstr(edit4.Text, #39); end; if radiobutton1.Checked then begin v_sex := radiobutton1.Caption; if (trim(edit2.Text) = "") and (trim(edit3.Text) ="") and (trim(edit4.Text) = "") then sql := sql + "sex =" + Ansiquotedstr(radiobutton1.Caption, #39) else if (trim(edit2.Text) <> "") or (trim(edit3.Text) <> "") or (trim(edit4.Text) <> "") then sql := sql + "and sex =" + Ansiquotedstr(radiobutton1.Caption, #39); end; if radiobutton2.Checked then begin v_sex := radiobutton2.Caption; if (trim(edit2.Text) = "") and (trim(edit3.Text) ="") and (trim(edit4.Text) = "") then sql := sql + "sex =" + Ansiquotedstr(radiobutton2.Caption, #39) else if (trim(edit2.Text) <> "") or (trim(edit3.Text) <> "") or (trim(edit4.Text) <> "") then sql := sql + "and sex =" + Ansiquotedstr(radiobutton2.Caption, #39); end; if (trim(edit2.Text) = "") and (trim(edit3.Text) = "" ) and (trim(edit4.Text) = "") and (v_sex = "") then//如果edit控件全为空,就显示所有记录,这里可以自己设置. sql := "select * from students"; adoquery1.Close; adoquery1.SQL.Clear; adoquery1.SQL.Append(sql); adoquery1.Open; end; 这里我没有实现日期查询,因为还不知道..(很少使用ACCESS,惭愧..)

delphi动态创建edit的问题.求大神帮助

在你的for语句前面加一段。 for i := 0 to Panel1.ControlCount-1 do begin if Assigned(editm[i]) then begin FreeAndNil(editm[i]); end; end;

Delirious [Edit] 歌词

歌曲名:Delirious [Edit]歌手:Prince专辑:UltimateVistoso Bosses Ft. Soulja Boy - Delirious (Remix)(Verse 1: Soulja Boy)Man this rediculous I got you so deliriousKiss me thru the phone while I lick you just like licoriceI"m hov back in ninety-six and you can be my Sasha FierceBaby you so sexy I love the way then jeans fitPut you onmy team missCall you Ms. Beezy I"m SouljaBoyTellemI can make your life so easyAnd if you don"t believe me please don"t tease meDelirious for my love better yet I got you feenin"Let Do It(Verse 2: Taylah P)Hey over there what"s your nameAre you for realIs this a gameYou start to smileAnd I do the sameI look away but you remainYour eyes starin back at meMy heart starts to skip a beatI pray that you"ll never knowI"ve fallen and you look in my eyes(Chorus:)You make me deliriousThat"s when I start to fallSomething seriousWhen you look in my eyesYou make me deliriousThat"s when I start to fallSomething serious(Verse 3: Taylah P)Now we"re over hereAnd you"re next to meYou look at meI find it hard to speakI start to laugh and you do the sameI look at youYou smile againYour hand holdin on to mineThe thoughts runnin through my mindI try not to let it showI"ve fallen and you look in my eyes(Chorus:)You make me deliriousThat"s when I start to fallSomething seriousWhen you look in my eyesYou make me deliriousThat"s when I start to fallSomething serious(Hook:)Your eyes starin back at meMy heart starts to skip a beatI pray that you"ll never knowI"ve fallen and you look in my eyesYour eyes starin back at meMy heart starts to skip a beatI pray that you"ll never knowI"ve fallen and you look in my eyes(Verse 4: Kelci)Tell me how you feelI love it when you speakOh you so sweetIt was meant to beWhile you"re here with meI wanna know you moreHold you moreKiss you till there"s no moreLove the way you walkLove it when you talkMy feelings so so deepEvery night I can"t sleepDe-li-ri-ous from my head to my feetDe-li-ri-ous is what I can seeSomething serious when it comes to you(yeah)(Chorus:)You make me deliriousThat"s when I start to fallSomething seriousWhen you look in my eyesYou make me deliriousThat"s when I start to fallSomething seriousWhen you look in my eyesYou make me deliriousThat"s when I start to fallSomething seriousWhen you look in my eyesYou make me deliriousThat"s when I start to fallSomething serioushttp://music.baidu.com/song/13693026

delphi中关于edit控件

自带的Edit控件似乎没这个功能像TLabel就有Alignment属性进行控制如果要TEdit有这个功能,要么你自己写要么用3方控件来实现像Raize里的Edit就有Alignment属性要下的话在www.2ccc.com 直接搜 Raize就行。

delphi中maskedit和edit的区别

maskedit输入的是你规定好的格式,不满足合适就没办法输入。edit就是普通文本框

DELPHI 多个edit计算

procedure TForm1.FormCreate(Sender: TObject);begin Edit1.Text := "100";end;procedure TForm1.Edit2Change(Sender: TObject);var iResult: Integer;begin iResult := 100 - StrToInt(Edit2.Text) - StrToInt(Edit3.Text) - StrToInt(Edit4.Text) - StrToInt(Edit5.Text) - StrToInt(Edit6.Text); if iResult > 0 then Edit1.Text := IntToStr(Result);end;//然后将Edit3-6的所有OnChange都选择Edit2Change//注意程序中没有加转化类型的判断

delphi中edit的用法

设置焦点到Edit1输入框。key<>#8的意思是,当键盘动作为退格键(删除键)时,允许键盘动作。

Taylor Swift的《Speak Now》Deluxe Edition有哪些曲目?Bonus Track是哪些?

01.Mine02.Sparks Fly03.Back to December04.Speak Now05.Dear John06.Mean07.The Story of Us08.Never Grow Up09.Enchanted10.Better Than Revenge11.Innocent12.Haunted13.Last Kiss14.Long Live15.Ours16.If This Was a Movie17.Superman18.Back to December (Acoustic Version)19.Haunted (Acoustic Version)20.Mine (US Version)21.Back to December (US Version)22.The Story of Us (US Versio)23.Mine (Music video: behind the scenes (Enhanced feature)24.Mine (Music video) (with Pop Mix music track) (Enhanced feature)

EFD10.0版本,如何安装,步骤尽可能详细点,安装环境,DELL台式机,系统:WIN7 , 64位 PRO/E 4.0

这个可能有点困难,64位win7,我用的是XP装Pro/E 4.0. 建议换XP体统。ProE安装其实没有那么麻烦。

dell d630 pcmcia cf卡求助

您好参考以下操作:1、用磁盘清理,清除系统垃圾文件。2、开始----运行---输入msconfig 把启用项里不必要的都取消勾选(如msn QQ 迅雷 电驴 虚拟光驱等等)。3、查杀病毒和恶意软件。把实时监控关闭 保持病毒库更新定期杀毒就可以了。4、检查散热通风口是否清洁。5、使用环境温度是否偏高。6、可以考虑购买一个质量好的散热支架 帮助笔记本散热。7、关闭一些没用的正在运行的程序 减轻风扇负担。8、备份数据,重新安装一下操作系统。9、还是不行最好去售后部门清理灰尘。您也可以拨打戴尔的售后服务电话咨询:固定电话拨打800-858-2969;手机拨打400-886-8610为您提供dell维修站查询网址:www.dell.com.cn/cis

安装DVDFab Virtual Drive虚拟光驱时,弹出替换现有文件时发生错误,DeleteFile失败,代码 5,拒绝访问。

文件的拒绝访问,问题可能出在权限上。由于程序安装需要向C盘写入或者替换文件,如果你的用户权限不足,可能会被禁止安装而出错。在安装程序里,找到主文件,右键菜单选择,以管理员权限运行,应该就可以正常安装了。

Delphi的常用函数

名称 类型 说明 abort 函数 引起放弃的意外处理 abs 函数 绝对值函数 addexitproc 函数 将一过程添加到运行时库的结束过程表中 addr 函数 返回指定对象的地址 adjustlinebreaks 函数 将给定字符串的行分隔符调整为cr/lf序列 align 属性 使控件位于窗口某部分 alignment 属性 控件标签的文字位置 allocmem 函数 在堆栈上分配给定大小的块 allowgrayed 属性 允许一个灰度选择 ansicomparestr 函数 比较字符串(区分大小写) ansicomparetext 函数 比较字符串(不区分大小写) ansilowercase 函数 将字符转换为小写 ansiuppercase 函数 将字符转换为大写 append 函数 以附加的方式打开已有的文件 arctan 函数 余切函数 assignfile 函数 给文件变量赋一外部文件名 assigned 函数 测试函数或过程变量是否为空 autosize 属性 自动控制标签的大小 backgrounddi2001.jpg 属性 背景色 beginthread 函数 以适当的方式建立用于内存管理的线程 bevelinner 属性 控件方框的内框方式 bevelouter 属性 控件方框的外框方式 bevelwidth 属性 控件方框的外框宽度 blockread 函数 读一个或多个记录到变量中 blockwrite 函数 从变量中写一个或多个记录 borderstyle 属性 边界类型 borderwidth 属性 边界宽度 break 命令 终止for、while、repeat循环语句 brush 属性 画刷 caption 属性 标签文字的内容 changefileext 函数 改变文件的后缀 chdir 函数 改变当前目录 checked 属性 确定复选框选中状态 chr 函数 返回指定序数的字符 closefile 命令 关闭打开的文件 color 属性 标签的颜色 columns 属性 显示的列数 comparestr 函数 比较字符串(区分大小写) concat 函数 合并字符串 continue 命令 继续for、while、repeat的下一个循环 copy 函数 返回一字符串的子串 cos 函数 余弦函数 ctl3d 属性 是否具有3d效果 cursor 属性 鼠标指针移入后的形状 date 函数 返回当前的日期 datetimetofiledate 函数 将delphi的日期格式转换为dos的日期格式 datetimetostr 函数 将日期时间格式转换为字符串 datetimetostring 函数 将日期时间格式转换为字符串 datetostr 函数 将日期格式转换为字符串 dayofweek 函数 返回星期的数值 dec 函数 递减变量值 decodedate 函数 将日期格式分解为年月日 decodetime 函数 将时间格式分解为时、分、秒、毫秒 delete 函数 从字符串中删除子串 deletefile 命令 删除文件 diskfree 函数 返回剩余磁盘空间的大小 disksize 函数 返回指定磁盘的容量 dispose 函数 释放动态变量所占的空间 disposestr 函数 释放字符串在堆栈中的内存空间 ditherbackgrounddi2001.jpg?使背景色的色彩加重或减少50% dragcursor 属性 当鼠标按下时光标的形状 dragmode 属性 按动的作用方式 dropdowncount 属性 容许的显示数据项的数目 editmask 属性 编辑模式 enabled 属性 是否使标签呈现打开状态 encodedate 函数 将年月日合成为日期格式 encodetime 函数 将时、分、秒、毫秒合成为时间格式 endmargin 属性 末尾边缘 eof 函数 对有类型或无类型文件测试是否到文件尾 eoln 函数 返回文本文件的行结束状态 erase 命令 删除外部文件 exceptaddr 函数 返回引起当前意外的地址 exclude 函数 从集合中删除一些元素 exceptobject 函数 返回当前意外的索引 exit 命令 立即从当前的语句块中退出 exp 函数 指数函数 expandfilename 函数 返回包含绝对路径的字符串 extendedselect 属性 是否允许存在选择模式,true时,multiselect才有意义 extractfiledir 函数 返回驱动器和路径 extractfileext 函数 返回文件的后缀 extractfilename 函数 返回文件名 extractfilepath 函数 返回指定文件的路径 fileage 函数 返回文件已存在的时间 fileclose 命令 关闭指定的文件 filecreate 命令 用指定的文件名建立新文件 filedatetodatetime 函数 将dos的日期格式转换为delphi的日期格式 fileexists 函数 检查文件是否存在 filegatattr 函数 返回文件的属性 filegetdate 函数 返回文件的dos日期时间标记 fileopen 命令 用指定的存取模式打开指定的文件 filepos 函数 返回文件的当前指针位置 fileread 命令 从指定的文件读取 filesearch 命令 在目录中搜索指定的文件 fileseek 函数 改变文件的指针 filesetattr 函数 设置文件属性 filesetdate 函数 设置文件的dos日期时间标记 filesize 函数 返回当前文件的大小 filewrite 函数 对指定的文件做写操作 fillchar 函数 用指定的值填充连续字节的数 findclose 命令 终止findfirst/findnext序列 findfirst 命令 对指定的文件名及属性搜索目录 findnext 命令 返回与文件名及属性匹配的下一入口 floattodecimal 函数 将浮点数转换为十进制数 floattostrf 函数 将浮点数转换为字符串 floattostr 函数 将浮点数转换为字符串 floattotext 函数 将给定的浮点数转换为十进制数 floattotextfmt 函数 将给定的浮点数转换为十进制数 flush 函数 将缓冲区的内容刷新到输出的文本文件中 fmtloadstr 函数 从程序的资源字符串表中装载字符串 fmtstr 函数 格式化一系列的参数,其结果以参数result返回 font 属性 设置字体 format 函数 格式化一系列的参数并返回pascal字符串 formatbuf 函数 格式化一系列的参数 formatdatetime 函数 用指定的格式来格式化日期和时间 formatfloat 函数 指定浮点数格式 frac 函数 返回参数的小数部分 freemem 函数 按给定大小释放动态变量所占的空间 getdir 返回指定驱动器的当前目录 getheapstatus 返回内存管理器的当前状态 getmem 建立一指定大小的动态变量,并将指针指向该处 getmemorymanager 返回内存管理器的入口点 glyph 函数 按钮上的图象 halt 停止程序的执行并返回到操作系统 hi 返回参数的高地址位 high 返回参数的上限值 hint 属性 提示信息 int 返回参数的整数部分 include 添加元素到集合中 insert 在字符串中插入子串 inttohex 将整型数转换为十六进制数 inttostr 将整型数转换为字符串 ioresult 返回最新的i/o操作完成状态 isvalidident 测试字符串是否为有效的标识符 items 属性 默认显示的节点 kind 属性 摆放样式 largechange 属性 最大改变值 layout 属性 图象布局 length 函数 返回字符串的动态长度 lines 属性 缺省显示内容 ln 函数 自然对数函数 lo 函数 返回参数的低地址位 loadstr 函数 从应用程序的可执行文件中装载字符资源 lowercase 函数 将给定的字符串变为小写 low 函数 返回参数的下限值 max 属性 最大值 maxlength 属性 最大长度 min 属性 最小值 mkdir 命令 建立一子目录 move 函数 从源到目标复制字节 multiselect 属性 允许同时选择几个数据项 name 属性 控件的名字 new 函数 建立新的动态变量并设置一指针变量指向他 newstr 函数 在堆栈上分配新的字符串 now 函数 返回当前的日期和时间 odd 测试参数是否为奇数 onactivate 事件 焦点移到窗体上时触发 onclick 事件 单击窗体空白区域触发 ondblclick 事件 双击窗体空白区域触发 onclosequery 事件 使用者试图关闭窗体触发 onclose 事件 窗体关闭后才触发 oncreate 事件 窗体第一次创建时触发 ondeactivate 事件 用户切换到另一应用程序触发 ondragdrop 事件 鼠标拖放操作结束时触发 ondragover 事件 有其他控件从他上面移过触发 onmousedown 事件 按下鼠标键时触发 onmouseup 事件 释放鼠标键时触发 onmousemove 事件 移动鼠标时触发 onhide 事件 隐藏窗体时触发 onkeydown 事件 按下键盘某键时触发 onkeypress 事件 按下键盘上的单个字符键时触发 onkeyup 事件 释放键盘上的某键时触发 onpaint 事件 窗体上有新部分暴露出来触发 onresize 事件 重新调整窗体大小触发 onshow 事件 在窗体实际显示之前瞬间触发 ord 返回序数类的序数 outlinestyle 属性 类型 outofmemoryerror 引起outofmemory意外 pageindex 属性 页索引 pages 属性 页 paramcount 函数 返回在命令行上传递给程序的参数数量 paramstr 函数 返回指定的命令行参数 pen 属性 画刷设置 pi 函数 返回圆周率pi picture 属性 显示图象 pictureclosed 属性 设置closed位图 pictureleaf 属性 设置leaf位图 pictureminus 属性 设置minus位图 pictureopen 属性 设置open位图 pictureplus 属性 设置plus位图 pos 函数 在字符串中搜索子串 pred 函数 返回先前的参数 random 函数 返回一随机函数 randomize 函数 用一随机数初始化内置的随机数生成器 read 函数 对有格式的文件,读一文件组件到变量中; 对文本文件,读一个或多个值到一个或多个变量中 readln 函数 执行read过程,然后跳到文件下一行 readonly 属性 只读属性 reallocmem 函数 分配一动态变量 rename 函数 重命名外部文件 renamefile 函数 对文件重命名 reset 函数 打开已有的文件 rewrite 函数 建立并打开一新的文件 rmdir 函数 删除空的子目录 round 函数 将实数值舍入为整型值 runerror 函数 停止程序的执行 scrollbars 属性 滚动条状态 seek 函数 将文件的当前指针移动到指定的组件上 seekeof 函数 返回文件的文件结束状态 seekeoln 函数 返回文件的行结束状态 selectedcolor 属性 选中颜色 setmemorymanager 函数 设置内存管理器的入口点 settextbuf 函数 给文本文件指定i/o缓冲区 shape 属性 显示的形状 showexception 函数 显示意外消息与地址 sin 函数 正弦函数 sizeof 函数 返回参数所占的字节数 smallchange 属性 最小改变值 sorted 属性 是否允许排序 sqr 函数 平方函数 sqrt 函数 平方根函数 startmargin 属性 开始边缘 state 属性 控件当前状态 str 函数 将数值转换为字符串 stralloc 函数 给以null结束的字符串分配最大长度-1的缓冲区 strbufsize 函数 返回存储在由stralloc分配的字符缓冲区的最大字符数 strcat 函数 将一字符串附加到另一字符串尾并返回合并的字符串 strcomp 函数 比较两个字符串 strcopy 函数 将一个字符串复制到另一个字符串中 strdispose 函数 释放堆栈上的字符串 strecopy 函数 将一字符串复制到另一个字符串并返回结果字符串尾部的指针 strend 函数 返回指向字符串尾部的指针 stretch 属性 自动适应控件的大小 strfmt 函数 格式化一系列的参数 stricomp 函数 比较两个字符串(不区分大小写) stringtowidechar 函数 将ansi字符串转换为unicode字符串 strlcat 函数 将一字符串中的字符附加到另一字符串尾并返回合并的字符串 strlcomp 函数 以最大长度比较两个字符串 strlcopy 函数 将一个字符串中的字符复制到另一个字符串中 strlen 函数 返回字符串中的字符数 strlfmt 函数 格式化一系列的参数,其结果中包含有指向目标缓冲区的指针 strlicomp 函数 以最大长度比较两个字符串(不区分大小写) strlower 函数 将字符串中的字符转换为小写 strmove 函数 将一个字符串中的字符复制到另一个字符串中 strnew 函数 在堆栈上分配一个字符串 strpas 函数 将以null结束的字符串转换为pascal类的字符串 strpcopy 函数 将pascal类的字符串复制为以null结束的字符串 strplcopy 函数 从pascal类的最大长度字符串复制为以null结束的字符串 strpos 函数 返回一个字符串在另一个字符串中首次出现指针 strrscan 函数 返回字符串中最后出现字符的指针 strscan 函数 返回字符串中出现首字符的指针 strtodate 函数 将字符串转换为日期格式 strtodatetime 函数 将字符串转换为日期/时间格式 strtofloat 函数 将给定的字符串转换为浮点数 strtoint 函数 将字符串转换为整型 strtointdef 函数 将字符串转换为整型或默认值 strtotime 函数 将字符串转换为时间格式 strupper 函数 将字符串中的字符转换为大写 style 属性 类型选择 suce 函数 返回后继的参数 swap 函数 交换参数的高低地址位 tabs 属性 标记每一项的内容 tabindex 属性 标记索引 text 属性 显示的文本 texttofloat 函数 将字符串(以null结束的格式)转换为浮点数 time 函数 返回当前的时间 timetostr 函数 将时间格式转换为字符串 trim 函数 从给定的字符串中删除前导和尾部的空格及控制字符 trimleft 函数 从给定的字符串中删除首部的空格及控制字符 trimright 函数 从给定的字符串中删除尾部的空格及控制字符 trunc 函数 将实型值截取为整型值 truncate 函数 截去当前文件位置后的内容 unselectedcolor 属性 未选中颜色 upcase 将字符转换为大写 uppercase 将给定的字符串变为大写 val 函数 将字符串转换为整型值 vararraycreate 函数 以给定的界限和维数建立变体数组 vararraydimcount 函数 返回给定变体的维数 vararrayhighbound 函数 返回给定变体数组维数的上界 vararraylock 函数 锁定给定的变体数组 vararraylowbound 函数 返回给定变体数组维数的下界 vararrayof 函数 返回指定变体的数组元素 vararrayredim 函数 通过改变上限来调整变体的大小 vararrayunlock 函数 解锁指定的变体数组 varastype 函数 将变体转换为指定的类型 varcase 函数 将变体转换为指定的类型并保存他 varclear 函数 清除指定的变体 varcopy 函数 将指定的变体复制为指定的变体 varformdatetime 函数 返回包含日期时间的变体 varisarray 函数 测试变体是否为数组 varisempty 函数 测试变体是否为unassigned varisnull 函数 测试变体是否为null vartodatetime 函数 将给定的变体转换为日期时间 vartype 函数 将变体转换为指定的类型并保存他 visible 属性 控件的可见性 wantreturns 属性 为true时,按回车键产生一个回车符; 为false时,按下ctrl+enter才产生回车符 write 命令 对有格式的文件,写一变量到文件组件中; 对文本文件,写一个或多个值到文件中 writeln 命令 执行write过程,然后输出一行结束标志 widecharlentostring 函数 将ansi字符串转换为unicode字符串 widecharlentostrwar 函数 将unicode字符串转换为ansi字符串变量 widechartostring 函数 将unicode字符串转换为ansi字符串 widechartostrvar 函数 将unicode字符串转换为ansi字符串变量

delphi中如何去除右边字符

varstr:string;beginstr := "abcdefghigklmn";Delete(str,Length(str)-4,5);ShowMessage(str);end;

什么是Sigma-Delta ADC

通俗得讲,ADC目前能做的最大精度假设为8 bits,但是我需要10bits的精度怎么办?第8bit后面的位数如何采集到呢?就要用到delta sigma。假设第8bit为0,第10bit为1,那么8-10bit为001,则第一个clk,取第8bit为0(001),2th clk 0(010), 3th clk(011) ,4th clk(100),这样,第10bit的数据就体现到了第8bit,所以用8bit 可以实现10bit的精度

Java里用tableModel接收从数据库查询出来的数据该怎么做求详解,最好可以教我一下怎么实现

利用Java开发数据库应用系统时,经常需要在用户界面上显示查询结果。由于SUN公司提供的JDK1.x开发工具包不是可视化的集成开发环境(IDE),不能象Delphi、VB那样方便地把查询结果在DBGrid等表格中显示出来。因此,只能靠自己编写代码来实现。 在实际应用中,我们可以利用Vector、JTable、AbstractTableModel等三个类较好地解决这一问题。以下,详细介绍一下实现方法。· 一、 类Vector、类JTable及类AbstractTableModel简介:1、 类Vector: 类Vector是Java的历史集合类,隶属于java.util包。它包装了异构链表和数组杂合体,具有以下两个特点: * 向量是异构的,不要求每个元素的类型相同,向量中可以混合多种对象类型; * 向量是数组杂合体,因为它们可以在增加元素时动态增大。 其异构性正好符合数据库记录中属性类型不一的特点,而其动态性也正好符合数据库查询时,结果集记录个数不定的特点。 类Vector定义如下: public class Vector extends AbstractList implements List , Cloneable , Serializable{…} 实现了向量成员的查找、新增、删除等方法。如:add(Object obj)可方便地加入一个对象;get(int index)可方便地得到向量中的一个对象;remove(Object obj)。则可方便地删除向量中一个对象。· 2、 类JTable: JTable组件是Swing组件中比较复杂的小件,隶属于javax.swing包,它能以二维表的形式显示数据。类JTable定义如下: public class JTable extends JComponent implements TableModelListener, Scrollable, TableColumnModelListener, ListSelectionListener, CellEditorListener, Accessible{…} 类JTable在显示数据时具有以下特点: * 可定制性:可以定制数据的显示方式和编辑状态; * 异构性:可以显示不同类型的数据对象,甚至包括颜色、图标等复杂对象; * 简便性:可以以缺省方式轻松地建立起一个二维表。 其可定制性可满足不同用户和场合的要求,异构性也正好符合数据库访问结果集中属性类型不一的特点。类JTable提供了极为丰富的二维表格操作方法,如设置编辑状态、显示方式、选择行列等,在此不一一赘述。 使用类JTable显示数据之前,必须根据情况生成定制模型、单元绘制器或单元编辑器。类AbstractListModel用来定制用户自己的数据模型,这个类在后面要介绍。TableCellRenderer接口用来定制单元绘制器,TableCellEditor接口用来定制单元编辑器,这两个接口主要用于颜色对象的处理上,在示例中没有用到,不做过多说明。· 3、 类AbstractTableModel: 类AbstractTableModel是一个抽象类,没有完全实现,不能实例化,使用时必须在程序中实现方法。它隶属于javax.swing.table 。类定义如下: public abstract class AbstractTableModel extends Object implements TableModel, Serializable{…} 类AbstractTableModel提供了TableModel接口中绝大多数方法的缺省实现。TableModel接口定义了JTable 的基础数据结构。用户要生成自己的数据模型,本来可以通过实现TableModel接口中所有方法来满足要求,但管理听众表的功能对于所有数据模型是共同的,所以在javax.swing.table中又定义了类AbstractTableModel来处理这个工作。它既管理听众表,又为生成TableModelEvents事件并委托给听众提供了便利。 要想生成一个具体的TableModel作为AbstractTableMode的子类,至少必须实现下面三个方法: public int getRowCount(); public int getColumnCount(); public Object getValueAt(int row, int column); 至此,我们可以建立一个简单二维表(5×5),实现方法如下: TableModel dataModel = new AbstractTableModel() { public int getColumnCount() { return 5; } public int getRowCount() { return 5;} public Object getValueAt(int row, int col) { return new Integer(row*col); } }; JTable table = new JTable(dataModel); JScrollPane scrollpane = new JScrollPane(table);· 二、 数据库及其连接方法简介: 示例采用Sybase数据库系统,数据库存放在数据库服务器中。路径为:D:/WORKER,数据库名为:worker.dbf。具有以下字段: 字段名 类型 Wno(职工号) VARCHAR Wname(职工名) VARCHAR Sex(性别) VARCHAR Birthday(出生日期) DATE Wage(工资) FLOAT 要连接此数据库,需使用java.sql包中的类DriverManager。此类是用于管理JDBC驱动程序的实用程序类。它提供了通过驱动程序取得连接、注册,撤消驱动程序, 设置登记和数据库访问登录超时等方法。具体连接方法如下: 第一步:定位、装入和链接SybDriver类; driver="com.sybase.jdbc.SybDriver"; SybDriver sybdriver=(SybDriver)Class.forName(driver).newInstance(); 第二步:注册SybDriver类; DriverManager.registerDriver(sybdriver); 第三步:取得连接(SybConnection)对象引用。 user="sa"; password=""; url="jdbc:sybase:Tds:202.117.203.114:5000/WORKER"; SybConnection connection=(SybConnection)DriverManager.getConnection (url,user,password); 建立完连接后,即可通过Statement接口进行数据库的查询与更改。· 三、 实现方法: 限于篇幅,在此只给出核心代码,包引入、界面处理、变量定义等部分不再介绍。 第一步:对象声明。 AbstractTableModel tm;//声明一个类AbstractTableModel对象 JTable jg_table;//声明一个类JTable对象 Vector vect;//声明一个向量对象 JScrollPane jsp;//声明一个滚动杠对象 String title[]={"职工号","职工名","性别","出生日期","工资"}; //二维表列名 第二步:定制表格。 1、实现抽象类AbstractTableModel对象tm中的方法: vect=new Vector();//实例化向量 tm=new AbstractTableModel(){ public int getColumnCount(){ return title.length;}//取得表格列数 public int getRowCount(){ return vect.size();}//取得表格行数 public Object getValueAt(int row,int column){ if(!vect.isEmpty()) return ((Vector)vect.elementAt(row)).elementAt(column); else return null;}//取得单元格中的属性值 public String getColumnName(int column){ return title[column];}//设置表格列名 public void setValueAt(Object value,int row,int column){} //数据模型不可编辑,该方法设置为空 public Class getColumnClass(int c){ return getValueAt(0,c).getClass(); }//取得列所属对象类 public boolean isCellEditable(int row,int column){ return false;}//设置单元格不可编辑,为缺省实现 }; 2、定制表格: jg_table=new JTable(tm);//生成自己的数据模型 jg_table.setToolTipText("显示全部查询结果");//设置帮助提示 jg_table.setAutoResizeMode(JTable.AUTO_RESIZE_OFF); //设置表格调整尺寸模式 jg_table.setCellSelectionEnabled(false);//设置单元格选择方式 jg_table.setShowVerticalLines(true);//设置是否显示单元格间的分割线 jg_table.setShowHorizontalLines(true); jsp=new JScrollPane(jg_table);//给表格加上滚动杠 第三步:显示查询结果。 1、 连接数据库:第二部分已给出。 2、 数据库查询: Statement stmt=connection.createStatement(); ResultSet rs=stmt.executeQuery("select * from worker"); 3、 显示查询结果: vect.removeAllElements();//初始化向量对象 tm.fireTableStructureChanged();//更新表格内容 while(rs.next()){ Vector rec_vector=new Vector(); //从结果集中取数据放入向量rec_vector中 rec_vector.addElement(rs.getString(1)); rec_vector.addElement(rs.getString(2)); rec_vector.addElement(rs.getString(3)); rec_vector.addElement(rs.getDate(4)); rec_vector.addElement(new Float(rs.getFloat(5))); vect.addElement(rec_vector);//向量rec_vector加入向量vect中 } tm.fireTableStructureChanged();//更新表格,显示向量vect的内容。

Lana Del Rey的《Ride》 歌词

歌曲名:Ride歌手:Lana Del Rey专辑:Born to Die - The Paradise EditionRideLana Del ReyI"ve been out on that open roadYou can be my full time, daddyWhite and goldSinging blues has been getting oldYou can be my full time, babyHot or coldDon"t break me downI"ve been travelin" too longI"ve been trying too hardWith one pretty songI hear the birds on the summer breeze,I drive fast I am alone in the nightBeen tryin" hard not to get into trouble, but II"ve got a war in my mindSo, I just rideDying young and I"m playing hardThat"s the way my father made his life an artDrink all day and we talk "til darkThat"s the way the road doves do it, ride "til dark.Don"t leave me nowDon"t say good byeDon"t turn aroundLeave me high and dryI hear the birds on the summer breeze, I drive fastI am alone in the nightBeen tryin" hard not to get in trouble, but II"ve got a war in my mindI just rideI"m tired of feeling like I"m f*ckin" crazyI"m tired of driving "till I see stars in my eyesI look up to hear myself saying,“Baby, too much I strive, I just ride.”I hear the birds on the summer breeze, I drive fastI am alone in the nightBeen tryin" hard not to get in trouble, but II"ve got a war in my mindI just ridehttp://music.baidu.com/song/30844629

求Julie Delpy《Waltz for a Night》 吉他谱

A Waltz For A NightJulie Delpy"A Waltz For A Night"By Julie DelpyFrom "Before Sunset" (2004)This is a beautiful and simple little piece from one of my favorite movies. I tabbed it so my friend could play it while learning how to play guitar.So the main parts of the song are called "Intro/Verse" and "Bridge 1". I only diagrammed them once, then throughout the song, I indicated when to play them over the corresponding lyrics.There is only one major variation (besides some rhythmic changes) called "Bridge 2/Change", it is diagrammed in the middle of the song for you. Good Luck!Tabbed/Arranged By Blaine Dunkley (Original Tab Credits to heymaria)(Intro/Verse)E|---3-3-|---2---|---0-0-|---2---|B|---0-0-|---0---|---0-0-|---0---|G|---0-0-|---0---|---0-0-|---0---|D|-------|-------|-------|-------|A|-------|-------|-------|-------|E|-3-----|-2-----|-0-----|-2-----|Let me sing you a waltzOut of nowhere, out of my thoughtsLet me sing you a waltzAbout this one night stand (Bridge 1)E|-----5-|-----4-|-----3-|-----2-|B|-----5-|-----5-|-----5-|-----3-|G|-----5-|-----5-|-----5-|-----2-|D|-------|-------|-------|-------|A|-------|-------|-------|-0-----|E|-5-----|-4-----|-3-----|-------|You were for me that night (Intro/Verse)Everything I always dreamt of in lifeBut now you"re goneYou are far goneAll the way to your island of rain (Bridge 1)It was for you just a one night thing (Intro/Verse)But you were much more to meJust so you knowI don"t care what they sayI know what you meant for me that day (Bridge 2/Change)E|-----5-|-----4-|-----3-|-----4-|B|-----5-|-----5-|-----5-|-----5-|G|-----5-|-----5-|-----5-|-----5-|D|-------|-------|-------|-------|A|-------|-------|-------|-------|E|-5-----|-4-----|-3-----|-4-----|I just wanted another try (Bridge 1)I just wanted another night (Intro/Verse)Even if it doesn"t seem quite rightYou meant for me much moreThan anyone I"ve met before (Bridge 1)One single night with you little Jesse (Intro/Verse)Is worth a thousand with anybodyI have no bitterness, my sweetI"ll never forget this one night thing (Bridge 1)Even tomorrow, in other arms (Intro/Verse)My heart will stay yours until I dieLet me sing you a waltzOut of nowhere, out of my bluesLet me sing you a waltzAbout this lovely one night stand (Outro Arpeggio)E|-3-----|-2-----|-0-----|-2-----|B|---0---|---0---|---0---|---0---|G|-----0-|-----0-|-----0-|-----0-|D|-------|-------|-------|-------|A|-------|-------|-------|-------|E|-3-----|-2-----|-0-----|-2-----|Repeat x1Then End On G:E|-3-----|B|-0-----|G|-0-----|D|-0-----|A|-------|E|-3-----|

DELL笔记本能装360吗?

任何牌子的电脑都是可以安装360杀毒软件的。现在有很多人电脑上有其他带的杀毒软件卸载了安装360杀毒的。奇虎360是(北京奇虎科技有限公司)的简称,由周鸿祎于2005年9月创立,主营360杀毒为代表的免费网络安全平台和拥有问答等独立业务的公司。该公司主要依靠在线广告、游戏、互联网和增值业务创收。2014年1月15日,奇虎360宣布进军台湾,授权希悦资讯为台湾地区总代理。2015年2月4日,内部人士确认,奇虎360公司斥巨资收购国际顶级域名360.com,收购价格为1700万美元,约合人民币1.1亿元。目前360.com的whois信息已经更改为北京奇虎科技有限公司。奇虎360拥有360安全卫士、360安全浏览器、360保险箱、360杀毒、360软件管家、360手机卫士、360极速浏览器、360安全桌面、360手机助手、360健康精灵、360云盘、360搜索,360随身WiFi等一系列产品。

如何用DELPHI当前日期减五十天

DateUtilsfunction IncDay(const AValue: TDateTime; const ANumberOfDays: Integer = 1): TDateTime;

请问EOLEX SERIAL OR6J2001 MODEL 116610手表的技术参数和价格?

你介绍的这一个手表的参数还是主要体现在它的尺寸大小以及它的操作顺序上,价格5000元。

Little by littlle ,it becomes widely celebrated.

我是英语专业的您这明显是从文章中摘录的句子吧?这样不给语境的情况下,很难翻译准确的不过我试着给您翻译一下大概意思您要根据语境去理解的Little by littlle ,it becomes widely celebrated渐渐的,人们开始广泛接受(某个节日?或者什么习俗?)并开始庆祝(类似于:“过年”)If mothers are dead,girls wear a white one如果母亲趋势了,女孩则穿一件白色的(应该是指的某种衣服)希望我真诚的回答可以帮到您

DELPHI基础教程:异常处理与程序调试(三)[1]

   断点的使用    设置断点   设置断点首先在Code Editor中选定你想设置断点的代码行 而后进行如下的任一种操作   ● 单击选定代码行左边的空白   ● 按F   ● 选择Code Editor加速菜单的Toggle BreakPoint项   ● 选择Run|Add Breadpoint打开断点编辑对话框(Edit BreakPoint Dialog Box) 而后选择New去确认一个新的断点设置或选择Modify去对一个存在的断点进行修改   ● 从BreakPoint List加速菜单中选择Add BreakPoint项   断点必须位于可执行代码行上 凡设置在注释 空白行 变量说明上的都是无效的 另外 断点既可以在设计状态下设置也可以在运行调试状态下设置    断点的操作   断点列表窗口(BreakPoint List Window)列出了所有断点所在的源文件名 行号 条件以及已通过的次数 如果一个断点非法或失去功能 则在列表窗口中变灰   断点列表窗口可以通过选择View|BreakPoint菜单打开   断点列表窗口是断点操作的基础    显示和编辑断点处的代码   利用断点列表窗口可以快速找到断点在源代码中的位置   首先选定断点而后从加速菜单中选择View Source或Edit Source 此时Code Editor更新 显示该断点位置处的代码 如果选择的是View Source 则断点列表窗口仍保持活动 如果选择的是Edit Source 则Code Editor获得输入焦点 可以在断点位置修改源代码    断点功能的丧失和恢复   使断点失去功能可以使断点从当前程序运行中隐藏起来 假如你定义了一个断点当前并不需要 但可能在以后使用 则这一功能是很有用的   断点列表窗口加速菜单的Disable BreakPoint和Disable All BreakPoints项可以使当前选中断点或所有断点失去功能   加速菜单中的Enable BreakPoint和Enable All BreakPoint 可以使相应断点恢复功能    断点的删除   断点删除可以从Code Editor或断点列表窗口中进行   从Code Editor:   ● 把光标停到包含断点的行并按F (或选择加速菜单的Toggle BreakPoint)   ● 单击包含断点行左边的终止符   从断点列表窗口   ● 选中欲删除的断点并选择加速菜单的Delete BreakPoint项   ● 删除当前所有断点 则选择加速菜单的Delete All BreakPoints项    修改断点属性   断点列表窗口双击选定断点或从加速菜单中选择Edit BreakPoint项 可以打开断点编辑对话框 用于显示和修改断点的属性   利用断点编辑对话框可以改变断点的位置 设置断点条件   断点条件包括两种 布尔表示式和通过次数   Condition编辑框用于设置布尔表达式条件 如果表达式值为真(或非零)则程序运行在断点处中止 否则调试器将忽略该断点   Pass Count编辑框用于设置通过次数条件 即只有当程序运行在该断点处通过设定次数时程序运行才在该断点处中止 这往往用于对循环体内语句的调试   有一点应引起注意的是 当Condition和Pass Count同时设置时 Pass Count是指满足条件的通过次数 lishixinzhi/Article/program/Delphi/201311/25184

DELL主板042P49(Intel Ivy bridge H61) 支持SATA3接口吗?

pci槽不是问题只要标准槽3.0完全兼容2.0,所谓性能损失也可以忽略,现在的显卡连2.0的用不满就不用提3.0了。真正的问题是电源,品牌机一般都是精打细算的,275w的电源额定也就200w撑死了,扣除其他的还能剩多少?而750ti的功耗最少也要75w了。

delphi 在创建动态菜单的过程中出现List Index out of bound(1)

List Index out of bound(1)一般都是由于 items 、combobox 等或数组越界造成!你重点查下这方面…

delphi 编程出现list index out of bounds(14),是哪里出错了? 谢谢!

访问不存在的下标

delphi调试总是报错 list index out of bounds(0),高手帮忙看看

if (lst1.Count =1)and (lst1.Strings[0]=ss ) then分开写;if lst1.Count =1 then if lst1.Strings[0]=ss then

delphi 报错list index out of bounds delphi 为什么啊

helubo0573回答的正确Close; <<<<<=================这里最好也添加一句;sql.clear;sql.add( "select count(出仓单号) from xg100410cc ");Open; <<<==============这里添加一句;s:=fields[0].value;
 首页 上一页  8 9 10 11 12 13 14 15 16 17 18  下一页  尾页